Giter VIP home page Giter VIP logo

Comments (5)

calad0i avatar calad0i commented on May 26, 2024

ERROR: [Board 49-71] The board_part definition was not found for tul.com.tw:pynq-z2:part0:1.0. The project's board_part property was not set, but the project's part property was set to xc7z020clg400-1. Valid board_part values can be retrieved with the 'get_board_parts' Tcl command. Check if board.repoPaths parameter is set and the board_part is installed from the tcl app store.

Your vivado installation does not have the part number you choose.

from hls4ml.

abd0smaali avatar abd0smaali commented on May 26, 2024

@calad0i Thank you for your comments, after adding the board file of the pynqz2 for the vivado installation, the warning is displayed more the process continues but it now displays a new issue and the terminal freez .
Here is the new problem:


#-----------------------------------------------------------
# Vivado v2019.2 (64-bit)
# SW Build 2708876 on Wed Nov  6 21:39:14 MST 2019
# IP Build 2700528 on Thu Nov  7 00:09:20 MST 2019
# Start of session at: Sun Dec  3 23:11:08 2023
# Process ID: 8307
# Current directory: /home/abdo/PycharmProjects/lenet5/qdense/model_hls4ml
# Command line: vivado -mode batch -source design.tcl
# Log file: /home/abdo/PycharmProjects/lenet5/qdense/model_hls4ml/vivado.log
# Journal file: /home/abdo/PycharmProjects/lenet5/qdense/model_hls4ml/vivado.jou
#-----------------------------------------------------------
WARNING: [Runs 36-547] Tool Strategy 'Vivado Synthesis Defaults' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDS2019.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDS2019.psg'
WARNING: [Runs 36-547] Tool Strategy 'Flow_AreaOptimized_high' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDS2019.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDS2019.psg'
WARNING: [Runs 36-547] Tool Strategy 'Flow_AreaOptimized_medium' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDS2019.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDS2019.psg'
WARNING: [Runs 36-547] Tool Strategy 'Flow_AreaMultThresholdDSP' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDS2019.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDS2019.psg'
WARNING: [Runs 36-547] Tool Strategy 'Flow_AlternateRoutability' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDS2019.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDS2019.psg'
WARNING: [Runs 36-547] Tool Strategy 'Flow_PerfOptimized_high' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDS2019.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDS2019.psg'
WARNING: [Runs 36-547] Tool Strategy 'Flow_PerfThresholdCarry' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDS2019.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDS2019.psg'
WARNING: [Runs 36-547] Tool Strategy 'Flow_RuntimeOptimized' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDS2019.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDS2019.psg'
WARNING: [Runs 36-547] Tool Strategy 'Vivado Implementation Defaults' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2015.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2015.psg'
WARNING: [Runs 36-547] Tool Strategy 'Performance_Explore' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2015.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2015.psg'
WARNING: [Runs 36-547] Tool Strategy 'Performance_ExplorePostRoutePhysOpt' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2015.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2015.psg'
WARNING: [Runs 36-547] Tool Strategy 'Performance_RefinePlacement' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2015.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2015.psg'
WARNING: [Runs 36-547] Tool Strategy 'Performance_WLBlockPlacement' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2015.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2015.psg'
WARNING: [Runs 36-547] Tool Strategy 'Performance_WLBlockPlacementFanoutOpt' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2015.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2015.psg'
WARNING: [Runs 36-547] Tool Strategy 'Performance_NetDelay_high' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2015.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2015.psg'
WARNING: [Runs 36-547] Tool Strategy 'Performance_NetDelay_medium' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2015.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2015.psg'
WARNING: [Runs 36-547] Tool Strategy 'Performance_NetDelay_low' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2015.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2015.psg'
WARNING: [Runs 36-547] Tool Strategy 'Performance_ExploreSLLs' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2015.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2015.psg'
WARNING: [Runs 36-547] Tool Strategy 'Performance_Retiming' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2015.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2015.psg'
WARNING: [Runs 36-547] Tool Strategy 'Area_Explore' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2015.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2015.psg'
WARNING: [Runs 36-547] Tool Strategy 'Power_DefaultOpt' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2015.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2015.psg'
WARNING: [Runs 36-547] Tool Strategy 'Flow_RunPhysOpt' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2015.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2015.psg'
WARNING: [Runs 36-547] Tool Strategy 'Flow_RunPostRoutePhysOpt' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2015.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2015.psg'
WARNING: [Runs 36-547] Tool Strategy 'Flow_RuntimeOptimized' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2015.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2015.psg'
WARNING: [Runs 36-547] Tool Strategy 'Flow_Quick' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2015.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2015.psg'
WARNING: [Runs 36-547] Tool Strategy 'Congestion_SpreadLogic_high' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2015.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2015.psg'
WARNING: [Runs 36-547] Tool Strategy 'Congestion_SpreadLogic_medium' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2015.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2015.psg'
WARNING: [Runs 36-547] Tool Strategy 'Congestion_SpreadLogic_low' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2015.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2015.psg'
WARNING: [Runs 36-547] Tool Strategy 'Congestion_SpreadLogicSLLs' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2015.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2015.psg'
WARNING: [Runs 36-547] Tool Strategy 'Congestion_BalanceSLLs' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2015.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2015.psg'
WARNING: [Runs 36-547] Tool Strategy 'Congestion_BalanceSLRs' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2015.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2015.psg'
WARNING: [Runs 36-547] Tool Strategy 'Congestion_CompressSLRs' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2015.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2015.psg'
WARNING: [Runs 36-547] Tool Strategy 'Vivado Implementation Defaults' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2012.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2012.psg'
WARNING: [Runs 36-547] Tool Strategy 'HighEffort' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2012.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2012.psg'
WARNING: [Runs 36-547] Tool Strategy 'HighEffortPhySynth' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2012.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2012.psg'
WARNING: [Runs 36-547] Tool Strategy 'LowEffort' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2012.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2012.psg'
WARNING: [Runs 36-547] Tool Strategy 'QuickEffort' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2012.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2012.psg'
WARNING: [Runs 36-547] Tool Strategy 'Rodin Implementation Defaults' from file '/home/abdo/Documents/Vivado/2019.2/strategies/RDI13.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/RDI13.psg'
WARNING: [Runs 36-547] Tool Strategy 'Vivado Synthesis Defaults' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDS2014.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDS2014.psg'
WARNING: [Runs 36-547] Tool Strategy 'Flow_RuntimeOptimized' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDS2014.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDS2014.psg'
WARNING: [Runs 36-547] Tool Strategy 'Flow_AreaOptimized_High' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDS2014.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDS2014.psg'
WARNING: [Runs 36-547] Tool Strategy 'Flow_PerfOptimized_High' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDS2014.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDS2014.psg'
WARNING: [Runs 36-547] Tool Strategy 'Vivado Implementation Defaults' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2014.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2014.psg'
WARNING: [Runs 36-547] Tool Strategy 'Performance_Explore' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2014.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2014.psg'
WARNING: [Runs 36-547] Tool Strategy 'Performance_ExplorePostRoutePhysOpt' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2014.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2014.psg'
WARNING: [Runs 36-547] Tool Strategy 'Performance_RefinePlacement' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2014.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2014.psg'
WARNING: [Runs 36-547] Tool Strategy 'Performance_WLBlockPlacement' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2014.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2014.psg'
WARNING: [Runs 36-547] Tool Strategy 'Performance_WLBlockPlacementFanoutOpt' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2014.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2014.psg'
WARNING: [Runs 36-547] Tool Strategy 'Performance_LateBlockPlacement' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2014.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2014.psg'
WARNING: [Runs 36-547] Tool Strategy 'Performance_NetDelay_high' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2014.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2014.psg'
WARNING: [Runs 36-547] Tool Strategy 'Performance_NetDelay_medium' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2014.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2014.psg'
WARNING: [Runs 36-547] Tool Strategy 'Performance_NetDelay_low' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2014.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2014.psg'
WARNING: [Runs 36-547] Tool Strategy 'Performance_ExploreSLLs' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2014.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2014.psg'
WARNING: [Runs 36-547] Tool Strategy 'Performance_Retiming' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2014.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2014.psg'
WARNING: [Runs 36-547] Tool Strategy 'Area_Explore' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2014.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2014.psg'
WARNING: [Runs 36-547] Tool Strategy 'Power_DefaultOpt' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2014.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2014.psg'
WARNING: [Runs 36-547] Tool Strategy 'Flow_RunPhysOpt' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2014.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2014.psg'
WARNING: [Runs 36-547] Tool Strategy 'Flow_RunPostRoutePhysOpt' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2014.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2014.psg'
WARNING: [Runs 36-547] Tool Strategy 'Flow_RuntimeOptimized' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2014.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2014.psg'
WARNING: [Runs 36-547] Tool Strategy 'Flow_Quick' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2014.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2014.psg'
WARNING: [Runs 36-547] Tool Strategy 'Congestion_SpreadLogic_high' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2014.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2014.psg'
WARNING: [Runs 36-547] Tool Strategy 'Congestion_SpreadLogic_medium' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2014.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2014.psg'
WARNING: [Runs 36-547] Tool Strategy 'Congestion_SpreadLogic_low' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2014.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2014.psg'
WARNING: [Runs 36-547] Tool Strategy 'Congestion_SpreadLogicSLLs' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2014.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2014.psg'
WARNING: [Runs 36-547] Tool Strategy 'Congestion_BalanceSLLs' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2014.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2014.psg'
WARNING: [Runs 36-547] Tool Strategy 'Congestion_BalanceSLRs' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2014.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2014.psg'
WARNING: [Runs 36-547] Tool Strategy 'Congestion_CompressSLRs' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2014.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2014.psg'
WARNING: [Runs 36-547] Tool Strategy 'HLS Compile C Defaults' from file '/home/abdo/Documents/Vivado/2019.2/strategies/CC2015.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/CC2015.psg'
WARNING: [Runs 36-547] Tool Strategy 'Vivado Synthesis Defaults' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDS2016.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDS2016.psg'
WARNING: [Runs 36-547] Tool Strategy 'Flow_AreaOptimized_high' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDS2016.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDS2016.psg'
WARNING: [Runs 36-547] Tool Strategy 'Flow_AreaOptimized_medium' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDS2016.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDS2016.psg'
WARNING: [Runs 36-547] Tool Strategy 'Flow_AreaMultThresholdDSP' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDS2016.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDS2016.psg'
WARNING: [Runs 36-547] Tool Strategy 'Flow_AlternateRoutability' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDS2016.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDS2016.psg'
WARNING: [Runs 36-547] Tool Strategy 'Flow_PerfOptimized_high' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDS2016.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDS2016.psg'
WARNING: [Runs 36-547] Tool Strategy 'Flow_PerfThresholdCarry' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDS2016.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDS2016.psg'
WARNING: [Runs 36-547] Tool Strategy 'Flow_RuntimeOptimized' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDS2016.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDS2016.psg'
WARNING: [Runs 36-547] Tool Strategy 'Rodin Synthesis Defaults' from file '/home/abdo/Documents/Vivado/2019.2/strategies/RDS13.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/RDS13.psg'
WARNING: [Runs 36-547] Tool Strategy 'Vivado Synthesis Defaults' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDS2013.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDS2013.psg'
WARNING: [Runs 36-547] Tool Strategy 'Flow_RuntimeOptimized' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDS2013.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDS2013.psg'
WARNING: [Runs 36-547] Tool Strategy 'Vivado Synthesis Defaults' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDS2017.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDS2017.psg'
WARNING: [Runs 36-547] Tool Strategy 'Flow_AreaOptimized_high' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDS2017.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDS2017.psg'
WARNING: [Runs 36-547] Tool Strategy 'Flow_AreaOptimized_medium' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDS2017.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDS2017.psg'
WARNING: [Runs 36-547] Tool Strategy 'Flow_AreaMultThresholdDSP' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDS2017.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDS2017.psg'
WARNING: [Runs 36-547] Tool Strategy 'Flow_AlternateRoutability' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDS2017.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDS2017.psg'
WARNING: [Runs 36-547] Tool Strategy 'Flow_PerfOptimized_high' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDS2017.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDS2017.psg'
WARNING: [Runs 36-547] Tool Strategy 'Flow_PerfThresholdCarry' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDS2017.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDS2017.psg'
WARNING: [Runs 36-547] Tool Strategy 'Flow_RuntimeOptimized' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDS2017.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDS2017.psg'
WARNING: [Runs 36-547] Tool Strategy 'Vivado Implementation Defaults' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2016.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2016.psg'
WARNING: [Runs 36-547] Tool Strategy 'Performance_Explore' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2016.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2016.psg'
WARNING: [Runs 36-547] Tool Strategy 'Performance_ExplorePostRoutePhysOpt' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2016.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2016.psg'
WARNING: [Runs 36-547] Tool Strategy 'Performance_WLBlockPlacement' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2016.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2016.psg'
WARNING: [Runs 36-547] Tool Strategy 'Performance_WLBlockPlacementFanoutOpt' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2016.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2016.psg'
WARNING: [Runs 36-547] Tool Strategy 'Performance_NetDelay_high' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2016.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2016.psg'
WARNING: [Runs 36-547] Tool Strategy 'Performance_NetDelay_low' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2016.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2016.psg'
WARNING: [Runs 36-547] Tool Strategy 'Performance_Retiming' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2016.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2016.psg'
WARNING: [Runs 36-547] Tool Strategy 'Performance_ExtraTimingOpt' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2016.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2016.psg'
WARNING: [Runs 36-547] Tool Strategy 'Performance_RefinePlacement' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2016.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2016.psg'
WARNING: [Runs 36-547] Tool Strategy 'Performance_SpreadSLLs' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2016.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2016.psg'
WARNING: [Runs 36-547] Tool Strategy 'Performance_BalanceSLLs' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2016.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2016.psg'
WARNING: [Runs 36-547] Tool Strategy 'Congestion_SpreadLogic_high' from file '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2016.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/strategies/VDI2016.psg'
INFO: [Common 17-14] Message 'Runs 36-547' appears 100 times and further instances of the messages will be disabled. Use the Tcl command set_msg_config to change the current settings.
WARNING: [Runs 36-546] Tool Report Strategy 'Vivado Synthesis Default Reports' from file '/home/abdo/Documents/Vivado/2019.2/reportstrategies/VRS2017.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/reportstrategies/VRS2017.psg'
WARNING: [Runs 36-546] Tool Report Strategy 'No Reports' from file '/home/abdo/Documents/Vivado/2019.2/reportstrategies/VRS2017.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/reportstrategies/VRS2017.psg'
WARNING: [Runs 36-546] Tool Report Strategy 'Vivado Implementation Default Reports' from file '/home/abdo/Documents/Vivado/2019.2/reportstrategies/VRI2019.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/reportstrategies/VRI2019.psg'
WARNING: [Runs 36-546] Tool Report Strategy 'UltraFast Design Methodology Reports' from file '/home/abdo/Documents/Vivado/2019.2/reportstrategies/VRI2019.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/reportstrategies/VRI2019.psg'
WARNING: [Runs 36-546] Tool Report Strategy 'Performance Explore Reports' from file '/home/abdo/Documents/Vivado/2019.2/reportstrategies/VRI2019.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/reportstrategies/VRI2019.psg'
WARNING: [Runs 36-546] Tool Report Strategy 'Timing Closure Reports' from file '/home/abdo/Documents/Vivado/2019.2/reportstrategies/VRI2019.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/reportstrategies/VRI2019.psg'
WARNING: [Runs 36-546] Tool Report Strategy 'No Reports' from file '/home/abdo/Documents/Vivado/2019.2/reportstrategies/VRI2019.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/reportstrategies/VRI2019.psg'
WARNING: [Runs 36-546] Tool Report Strategy 'Vivado Synthesis Default Reports' from file '/home/abdo/Documents/Vivado/2019.2/reportstrategies/VRS2018.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/reportstrategies/VRS2018.psg'
WARNING: [Runs 36-546] Tool Report Strategy 'No Reports' from file '/home/abdo/Documents/Vivado/2019.2/reportstrategies/VRS2018.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/reportstrategies/VRS2018.psg'
WARNING: [Runs 36-546] Tool Report Strategy 'Vivado Implementation Default Reports' from file '/home/abdo/Documents/Vivado/2019.2/reportstrategies/VRI2017.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/reportstrategies/VRI2017.psg'
WARNING: [Runs 36-546] Tool Report Strategy 'UltraFast Design Methodology Reports' from file '/home/abdo/Documents/Vivado/2019.2/reportstrategies/VRI2017.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/reportstrategies/VRI2017.psg'
WARNING: [Runs 36-546] Tool Report Strategy 'Performance Explore Reports' from file '/home/abdo/Documents/Vivado/2019.2/reportstrategies/VRI2017.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/reportstrategies/VRI2017.psg'
WARNING: [Runs 36-546] Tool Report Strategy 'Timing Closure Reports' from file '/home/abdo/Documents/Vivado/2019.2/reportstrategies/VRI2017.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/reportstrategies/VRI2017.psg'
WARNING: [Runs 36-546] Tool Report Strategy 'No Reports' from file '/home/abdo/Documents/Vivado/2019.2/reportstrategies/VRI2017.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/reportstrategies/VRI2017.psg'
WARNING: [Runs 36-546] Tool Report Strategy 'Vivado Synthesis Default Reports' from file '/home/abdo/Documents/Vivado/2019.2/reportstrategies/VRS2019.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/reportstrategies/VRS2019.psg'
WARNING: [Runs 36-546] Tool Report Strategy 'No Reports' from file '/home/abdo/Documents/Vivado/2019.2/reportstrategies/VRS2019.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/reportstrategies/VRS2019.psg'
WARNING: [Runs 36-546] Tool Report Strategy 'Vivado Implementation Default Reports' from file '/home/abdo/Documents/Vivado/2019.2/reportstrategies/VRI2018.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/reportstrategies/VRI2018.psg'
WARNING: [Runs 36-546] Tool Report Strategy 'UltraFast Design Methodology Reports' from file '/home/abdo/Documents/Vivado/2019.2/reportstrategies/VRI2018.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/reportstrategies/VRI2018.psg'
WARNING: [Runs 36-546] Tool Report Strategy 'Performance Explore Reports' from file '/home/abdo/Documents/Vivado/2019.2/reportstrategies/VRI2018.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/reportstrategies/VRI2018.psg'
WARNING: [Runs 36-546] Tool Report Strategy 'Timing Closure Reports' from file '/home/abdo/Documents/Vivado/2019.2/reportstrategies/VRI2018.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/reportstrategies/VRI2018.psg'
WARNING: [Runs 36-546] Tool Report Strategy 'No Reports' from file '/home/abdo/Documents/Vivado/2019.2/reportstrategies/VRI2018.psg' discarded because strategy with same name already parsed from '/home/abdo/Documents/Vivado/2019.2/reportstrategies/VRI2018.psg'
source design.tcl
# set tcldir [file dirname [info script]]
# source [file join $tcldir project.tcl]
## variable project_name
## set project_name "myproject"
## variable backend
## set backend "vivadoaccelerator"
## variable part
## set part "xc7z020clg400-1"
## variable clock_period
## set clock_period 5
## variable clock_uncertainty
## set clock_uncertainty 12.5%
## variable version
## set version "1.0.0"
## set bit_width_hls_output 32
## set bit_width_hls_input 32
# create_project project_1 ${project_name}_vivado_accelerator -part xc7z020clg400-1 -force
# set_property board_part tul.com.tw:pynq-z2:part0:1.0 [current_project]
# set_property  ip_repo_paths  ${project_name}_prj [current_project]
# update_ip_catalog
INFO: [IP_Flow 19-234] Refreshing IP repositories
INFO: [IP_Flow 19-1700] Loaded user IP repository '/home/abdo/PycharmProjects/lenet5/qdense/model_hls4ml/myproject_prj'.
INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/home/abdo/Documents/Vivado/2019.2/data/ip'.
# create_bd_design "design_1"
Wrote  : </home/abdo/PycharmProjects/lenet5/qdense/model_hls4ml/myproject_vivado_accelerator/project_1.srcs/sources_1/bd/design_1/design_1.bd> 
# startgroup
# create_bd_cell -type ip -vlnv xilinx.com:ip:processing_system7:5.5 processing_system7_0
WARNING: [BD 41-176] The physical port 'S_AXI_GP2_rd_socket' specified in the portmap, is not found on the block! 
WARNING: [BD 41-176] The physical port 'S_AXI_GP2_wr_socket' specified in the portmap, is not found on the block! 
WARNING: [BD 41-176] The physical port 'S_AXI_GP3_rd_socket' specified in the portmap, is not found on the block! 
WARNING: [BD 41-176] The physical port 'S_AXI_GP3_wr_socket' specified in the portmap, is not found on the block! 
# endgroup
# apply_bd_automation -rule xilinx.com:bd_rule:processing_system7 -config {make_external "FIXED_IO, DDR" apply_board_preset "1" Master "Disable" Slave "Disable" }  [get_bd_cells processing_system7_0]
# startgroup
# set_property -dict [list CONFIG.PCW_USE_S_AXI_HP0 {1}] [get_bd_cells processing_system7_0]
CRITICAL WARNING: [PSU-1]  Parameter : PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_0 has negative value -0.051 . PS DDR interfaces might fail when entering negative DQS skew values. 
CRITICAL WARNING: [PSU-2]  Parameter : PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_1 has negative value -0.006 . PS DDR interfaces might fail when entering negative DQS skew values. 
CRITICAL WARNING: [PSU-3]  Parameter : PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_2 has negative value -0.009 . PS DDR interfaces might fail when entering negative DQS skew values. 
CRITICAL WARNING: [PSU-4]  Parameter : PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_3 has negative value -0.033 . PS DDR interfaces might fail when entering negative DQS skew values. 
WARNING: [BD 41-176] The physical port 'S_AXI_GP2_rd_socket' specified in the portmap, is not found on the block! 
WARNING: [BD 41-176] The physical port 'S_AXI_GP2_wr_socket' specified in the portmap, is not found on the block! 
WARNING: [BD 41-176] The physical port 'S_AXI_GP3_rd_socket' specified in the portmap, is not found on the block! 
WARNING: [BD 41-176] The physical port 'S_AXI_GP3_wr_socket' specified in the portmap, is not found on the block! 
# endgroup
# startgroup
# create_bd_cell -type ip -vlnv xilinx.com:ip:axi_dma:7.1 axi_dma_0
# endgroup
# set_property -dict [list CONFIG.c_s_axis_s2mm_tdata_width.VALUE_SRC USER] [get_bd_cells axi_dma_0]
# set_property -dict [list CONFIG.c_include_sg {0} CONFIG.c_sg_length_width {26} CONFIG.c_sg_include_stscntrl_strm {0} CONFIG.c_m_axi_mm2s_data_width ${bit_width_hls_input} CONFIG.c_m_axis_mm2s_tdata_width ${bit_width_hls_input} CONFIG.c_mm2s_burst_size {256} CONFIG.c_s_axis_s2mm_tdata_width ${bit_width_hls_output} CONFIG.c_s_axis_s2mm_data_width ${bit_width_hls_output} CONFIG.c_s2mm_burst_size {256}] [get_bd_cells axi_dma_0]
CRITICAL WARNING: [BD 41-1276] Cannot set the parameter c_s_axis_s2mm_data_width on /axi_dma_0. Parameter does not exist
# startgroup
# apply_bd_automation -rule xilinx.com:bd_rule:axi4 -config { Clk_master {Auto} Clk_slave {Auto} Clk_xbar {Auto} Master {/processing_system7_0/M_AXI_GP0} Slave {/axi_dma_0/S_AXI_LITE} ddr_seg {Auto} intc_ip {New AXI Interconnect} master_apm {0}}  [get_bd_intf_pins axi_dma_0/S_AXI_LITE]
INFO: [Ipptcl 7-1463] No Compatible Board Interface found. Board Tab not created in customize GUI
Slave segment </axi_dma_0/S_AXI_LITE/Reg> is being mapped into address space </processing_system7_0/Data> at <0x4040_0000 [ 64K ]>
# apply_bd_automation -rule xilinx.com:bd_rule:axi4 -config { Clk_master {Auto} Clk_slave {Auto} Clk_xbar {Auto} Master {/axi_dma_0/M_AXI_MM2S} Slave {/processing_system7_0/S_AXI_HP0} ddr_seg {Auto} intc_ip {New AXI Interconnect} master_apm {0}}  [get_bd_intf_pins processing_system7_0/S_AXI_HP0]
Slave segment </processing_system7_0/S_AXI_HP0/HP0_DDR_LOWOCM> is being mapped into address space </axi_dma_0/Data_MM2S> at <0x0000_0000 [ 512M ]>
# endgroup
# apply_bd_automation -rule xilinx.com:bd_rule:axi4 -config { Clk_master {Auto} Clk_slave {/processing_system7_0/FCLK_CLK0 (100 MHz)} Clk_xbar {/processing_system7_0/FCLK_CLK0 (100 MHz)} Master {/axi_dma_0/M_AXI_S2MM} Slave {/processing_system7_0/S_AXI_HP0} ddr_seg {Auto} intc_ip {/axi_mem_intercon} master_apm {0}}  [get_bd_intf_pins axi_dma_0/M_AXI_S2MM]
Slave segment </processing_system7_0/S_AXI_HP0/HP0_DDR_LOWOCM> is being mapped into address space </axi_dma_0/Data_S2MM> at <0x0000_0000 [ 512M ]>
# startgroup
# create_bd_cell -type ip -vlnv xilinx.com:hls:${project_name}_axi:1.0 ${project_name}_axi_0
# endgroup
# connect_bd_intf_net [get_bd_intf_pins axi_dma_0/M_AXIS_MM2S] [get_bd_intf_pins ${project_name}_axi_0/in_r]
# connect_bd_intf_net [get_bd_intf_pins ${project_name}_axi_0/out_r] [get_bd_intf_pins axi_dma_0/S_AXIS_S2MM]
# apply_bd_automation -rule xilinx.com:bd_rule:clkrst -config { Clk {/processing_system7_0/FCLK_CLK0 (100 MHz)} Freq {100} Ref_Clk0 {} Ref_Clk1 {} Ref_Clk2 {}}  [get_bd_pins ${project_name}_axi_0/ap_clk]
# group_bd_cells hier_0 [get_bd_cells axi_dma_0] [get_bd_cells ${project_name}_axi_0]
# make_wrapper -files [get_files ./${project_name}_vivado_accelerator/project_1.srcs/sources_1/bd/design_1/design_1.bd] -top
WARNING: [BD 41-702] Propagation TCL tries to overwrite USER strength parameter PCW_M_AXI_GP0_FREQMHZ(10) on '/processing_system7_0' with propagated value(100). Command ignored
WARNING: [BD 41-702] Propagation TCL tries to overwrite USER strength parameter PCW_S_AXI_HP0_FREQMHZ(10) on '/processing_system7_0' with propagated value(100). Command ignored
Wrote  : </home/abdo/PycharmProjects/lenet5/qdense/model_hls4ml/myproject_vivado_accelerator/project_1.srcs/sources_1/bd/design_1/design_1.bd> 
WARNING: [BD 41-235] Width mismatch when connecting pin: '/axi_mem_intercon/m00_couplers/auto_pc/m_axi_rid'(1) to net 'auto_pc_to_m00_couplers_RID'(6) - Only lower order bits will be connected.
WARNING: [BD 41-235] Width mismatch when connecting pin: '/axi_mem_intercon/m00_couplers/auto_pc/m_axi_bid'(1) to net 'auto_pc_to_m00_couplers_BID'(6) - Only lower order bits will be connected.
WARNING: [BD 41-235] Width mismatch when connecting pin: '/processing_system7_0/S_AXI_HP0_ARID'(6) to net 'axi_mem_intercon_M00_AXI_ARID'(1) - Only lower order bits will be connected.
WARNING: [BD 41-235] Width mismatch when connecting pin: '/processing_system7_0/S_AXI_HP0_AWID'(6) to net 'axi_mem_intercon_M00_AXI_AWID'(1) - Only lower order bits will be connected.
WARNING: [BD 41-235] Width mismatch when connecting pin: '/processing_system7_0/S_AXI_HP0_WID'(6) to net 'axi_mem_intercon_M00_AXI_WID'(1) - Only lower order bits will be connected.
VHDL Output written to : /home/abdo/PycharmProjects/lenet5/qdense/model_hls4ml/myproject_vivado_accelerator/project_1.srcs/sources_1/bd/design_1/synth/design_1.v
WARNING: [BD 41-235] Width mismatch when connecting pin: '/axi_mem_intercon/m00_couplers/auto_pc/m_axi_rid'(1) to net 'auto_pc_to_m00_couplers_RID'(6) - Only lower order bits will be connected.
WARNING: [BD 41-235] Width mismatch when connecting pin: '/axi_mem_intercon/m00_couplers/auto_pc/m_axi_bid'(1) to net 'auto_pc_to_m00_couplers_BID'(6) - Only lower order bits will be connected.
WARNING: [BD 41-235] Width mismatch when connecting pin: '/processing_system7_0/S_AXI_HP0_ARID'(6) to net 'axi_mem_intercon_M00_AXI_ARID'(1) - Only lower order bits will be connected.
WARNING: [BD 41-235] Width mismatch when connecting pin: '/processing_system7_0/S_AXI_HP0_AWID'(6) to net 'axi_mem_intercon_M00_AXI_AWID'(1) - Only lower order bits will be connected.
WARNING: [BD 41-235] Width mismatch when connecting pin: '/processing_system7_0/S_AXI_HP0_WID'(6) to net 'axi_mem_intercon_M00_AXI_WID'(1) - Only lower order bits will be connected.
VHDL Output written to : /home/abdo/PycharmProjects/lenet5/qdense/model_hls4ml/myproject_vivado_accelerator/project_1.srcs/sources_1/bd/design_1/sim/design_1.v
VHDL Output written to : /home/abdo/PycharmProjects/lenet5/qdense/model_hls4ml/myproject_vivado_accelerator/project_1.srcs/sources_1/bd/design_1/hdl/design_1_wrapper.v
# add_files -norecurse ./${project_name}_vivado_accelerator/project_1.srcs/sources_1/bd/design_1/hdl/design_1_wrapper.v
# reset_run impl_1
# reset_run synth_1
# launch_runs impl_1 -to_step write_bitstream -jobs 6
INFO: [BD 41-1662] The design 'design_1.bd' is already validated. Therefore parameter propagation will not be re-run.
WARNING: [BD 41-235] Width mismatch when connecting pin: '/axi_mem_intercon/m00_couplers/auto_pc/m_axi_rid'(1) to net 'auto_pc_to_m00_couplers_RID'(6) - Only lower order bits will be connected.
WARNING: [BD 41-235] Width mismatch when connecting pin: '/axi_mem_intercon/m00_couplers/auto_pc/m_axi_bid'(1) to net 'auto_pc_to_m00_couplers_BID'(6) - Only lower order bits will be connected.
WARNING: [BD 41-235] Width mismatch when connecting pin: '/processing_system7_0/S_AXI_HP0_ARID'(6) to net 'axi_mem_intercon_M00_AXI_ARID'(1) - Only lower order bits will be connected.
WARNING: [BD 41-235] Width mismatch when connecting pin: '/processing_system7_0/S_AXI_HP0_AWID'(6) to net 'axi_mem_intercon_M00_AXI_AWID'(1) - Only lower order bits will be connected.
WARNING: [BD 41-235] Width mismatch when connecting pin: '/processing_system7_0/S_AXI_HP0_WID'(6) to net 'axi_mem_intercon_M00_AXI_WID'(1) - Only lower order bits will be connected.
VHDL Output written to : /home/abdo/PycharmProjects/lenet5/qdense/model_hls4ml/myproject_vivado_accelerator/project_1.srcs/sources_1/bd/design_1/synth/design_1.v
WARNING: [BD 41-235] Width mismatch when connecting pin: '/axi_mem_intercon/m00_couplers/auto_pc/m_axi_rid'(1) to net 'auto_pc_to_m00_couplers_RID'(6) - Only lower order bits will be connected.
WARNING: [BD 41-235] Width mismatch when connecting pin: '/axi_mem_intercon/m00_couplers/auto_pc/m_axi_bid'(1) to net 'auto_pc_to_m00_couplers_BID'(6) - Only lower order bits will be connected.
WARNING: [BD 41-235] Width mismatch when connecting pin: '/processing_system7_0/S_AXI_HP0_ARID'(6) to net 'axi_mem_intercon_M00_AXI_ARID'(1) - Only lower order bits will be connected.
WARNING: [BD 41-235] Width mismatch when connecting pin: '/processing_system7_0/S_AXI_HP0_AWID'(6) to net 'axi_mem_intercon_M00_AXI_AWID'(1) - Only lower order bits will be connected.
WARNING: [BD 41-235] Width mismatch when connecting pin: '/processing_system7_0/S_AXI_HP0_WID'(6) to net 'axi_mem_intercon_M00_AXI_WID'(1) - Only lower order bits will be connected.
VHDL Output written to : /home/abdo/PycharmProjects/lenet5/qdense/model_hls4ml/myproject_vivado_accelerator/project_1.srcs/sources_1/bd/design_1/sim/design_1.v
VHDL Output written to : /home/abdo/PycharmProjects/lenet5/qdense/model_hls4ml/myproject_vivado_accelerator/project_1.srcs/sources_1/bd/design_1/hdl/design_1_wrapper.v
WARNING: [IP_Flow 19-5611] Unable to find an associated reset port for the interface 'M_AXI_GP0'. A default connection has been created.
WARNING: [IP_Flow 19-5611] Unable to find an associated reset port for the interface 'S_AXI_HP0'. A default connection has been created.
INFO: [BD 41-1029] Generation completed for the IP Integrator block processing_system7_0 .
WARNING: [IP_Flow 19-4994] Overwriting existing constraint file '/home/abdo/PycharmProjects/lenet5/qdense/model_hls4ml/myproject_vivado_accelerator/project_1.srcs/sources_1/bd/design_1/ip/design_1_auto_pc_0/design_1_auto_pc_0_ooc.xdc'
INFO: [BD 41-1029] Generation completed for the IP Integrator block ps7_0_axi_periph/s00_couplers/auto_pc .
INFO: [BD 41-1029] Generation completed for the IP Integrator block rst_ps7_0_100M .
INFO: [BD 41-1029] Generation completed for the IP Integrator block axi_mem_intercon/xbar .
WARNING: [IP_Flow 19-4994] Overwriting existing constraint file '/home/abdo/PycharmProjects/lenet5/qdense/model_hls4ml/myproject_vivado_accelerator/project_1.srcs/sources_1/bd/design_1/ip/design_1_auto_us_0/design_1_auto_us_0_ooc.xdc'
INFO: [BD 41-1029] Generation completed for the IP Integrator block axi_mem_intercon/s00_couplers/auto_us .
WARNING: [IP_Flow 19-4994] Overwriting existing constraint file '/home/abdo/PycharmProjects/lenet5/qdense/model_hls4ml/myproject_vivado_accelerator/project_1.srcs/sources_1/bd/design_1/ip/design_1_auto_us_1/design_1_auto_us_1_ooc.xdc'
INFO: [BD 41-1029] Generation completed for the IP Integrator block axi_mem_intercon/s01_couplers/auto_us .
WARNING: [IP_Flow 19-4994] Overwriting existing constraint file '/home/abdo/PycharmProjects/lenet5/qdense/model_hls4ml/myproject_vivado_accelerator/project_1.srcs/sources_1/bd/design_1/ip/design_1_auto_pc_1/design_1_auto_pc_1_ooc.xdc'
INFO: [BD 41-1029] Generation completed for the IP Integrator block axi_mem_intercon/m00_couplers/auto_pc .
INFO: [BD 41-1029] Generation completed for the IP Integrator block hier_0/axi_dma_0 .
WARNING: [IP_Flow 19-519] IP 'design_1_myproject_axi_0_0' detected a language mismatch between 'VHDL Simulation Wrapper' and 'Verilog Simulation' output products. Please check with the IP provider to see if this is expected.
INFO: [BD 41-1029] Generation completed for the IP Integrator block hier_0/myproject_axi_0 .
Exporting to file /home/abdo/PycharmProjects/lenet5/qdense/model_hls4ml/myproject_vivado_accelerator/project_1.srcs/sources_1/bd/design_1/hw_handoff/design_1.hwh
Generated Block Design Tcl file /home/abdo/PycharmProjects/lenet5/qdense/model_hls4ml/myproject_vivado_accelerator/project_1.srcs/sources_1/bd/design_1/hw_handoff/design_1_bd.tcl
Generated Hardware Definition File /home/abdo/PycharmProjects/lenet5/qdense/model_hls4ml/myproject_vivado_accelerator/project_1.srcs/sources_1/bd/design_1/synth/design_1.hwdef
INFO: [IP_Flow 19-5642] Done with IP cache export for multiple IPs
[Sun Dec  3 23:11:57 2023] Launched design_1_rst_ps7_0_100M_0_synth_1, design_1_auto_us_1_synth_1, design_1_myproject_axi_0_0_synth_1, design_1_axi_dma_0_0_synth_1, design_1_auto_pc_1_synth_1, design_1_xbar_0_synth_1, design_1_auto_us_0_synth_1, design_1_auto_pc_0_synth_1, design_1_processing_system7_0_0_synth_1, synth_1...
Run output will be captured here:
design_1_rst_ps7_0_100M_0_synth_1: /home/abdo/PycharmProjects/lenet5/qdense/model_hls4ml/myproject_vivado_accelerator/project_1.runs/design_1_rst_ps7_0_100M_0_synth_1/runme.log
design_1_auto_us_1_synth_1: /home/abdo/PycharmProjects/lenet5/qdense/model_hls4ml/myproject_vivado_accelerator/project_1.runs/design_1_auto_us_1_synth_1/runme.log
design_1_myproject_axi_0_0_synth_1: /home/abdo/PycharmProjects/lenet5/qdense/model_hls4ml/myproject_vivado_accelerator/project_1.runs/design_1_myproject_axi_0_0_synth_1/runme.log
design_1_axi_dma_0_0_synth_1: /home/abdo/PycharmProjects/lenet5/qdense/model_hls4ml/myproject_vivado_accelerator/project_1.runs/design_1_axi_dma_0_0_synth_1/runme.log
design_1_auto_pc_1_synth_1: /home/abdo/PycharmProjects/lenet5/qdense/model_hls4ml/myproject_vivado_accelerator/project_1.runs/design_1_auto_pc_1_synth_1/runme.log
design_1_xbar_0_synth_1: /home/abdo/PycharmProjects/lenet5/qdense/model_hls4ml/myproject_vivado_accelerator/project_1.runs/design_1_xbar_0_synth_1/runme.log
design_1_auto_us_0_synth_1: /home/abdo/PycharmProjects/lenet5/qdense/model_hls4ml/myproject_vivado_accelerator/project_1.runs/design_1_auto_us_0_synth_1/runme.log
design_1_auto_pc_0_synth_1: /home/abdo/PycharmProjects/lenet5/qdense/model_hls4ml/myproject_vivado_accelerator/project_1.runs/design_1_auto_pc_0_synth_1/runme.log
design_1_processing_system7_0_0_synth_1: /home/abdo/PycharmProjects/lenet5/qdense/model_hls4ml/myproject_vivado_accelerator/project_1.runs/design_1_processing_system7_0_0_synth_1/runme.log
synth_1: /home/abdo/PycharmProjects/lenet5/qdense/model_hls4ml/myproject_vivado_accelerator/project_1.runs/synth_1/runme.log
[Sun Dec  3 23:11:57 2023] Launched impl_1...
Run output will be captured here: /home/abdo/PycharmProjects/lenet5/qdense/model_hls4ml/myproject_vivado_accelerator/project_1.runs/impl_1/runme.log
launch_runs: Time (s): cpu = 00:00:22 ; elapsed = 00:00:24 . Memory (MB): peak = 2101.559 ; gain = 220.098 ; free physical = 1730 ; free virtual = 4014
# wait_on_run -timeout 360 impl_1
[Sun Dec  3 23:11:57 2023] Waiting for impl_1 to finish (timeout in 360 minutes)...

also here is the vivado and vivado hls log in the ziped file also the vivadoaccelerator project gneretaed files :
myproject_vivado_accelerator11.zip

vivado.zip

from hls4ml.

abd0smaali avatar abd0smaali commented on May 26, 2024

even i change the model with new one nothing change stil the same issue : wait_on_run -timeout 360 impl_1

from hls4ml.

lp247 avatar lp247 commented on May 26, 2024

I pretty much get the same issue except that the process does not freeze but is actually killed in between at the step where it is freezing for you.

Seems like there is some problem with the way python is invoking the vivado command. If I execute the command manually, the one which is executed from within hls_model.build, everything runs fine and the bitfile will be generated.

Running on WSL.

from hls4ml.

vloncar avatar vloncar commented on May 26, 2024

If the process died -> you ran out of memory (physical + swap)
If the process is seemingly stuck/frozen or takes unreasonably long time -> you ran out of physical memory (and are using swap, which is slow and will probably die eventually)
If the command works when executed manually -> you're close to using all memory, therefore the process dies if the hls4ml environment is taking up memory (dependencies such as tensorflow can be hungry)

The solution, as you've guessed while reading the above, is of course to download more RAM 😉

from hls4ml.

Related Issues (20)

Recommend Projects

  • React photo React

    A declarative, efficient, and flexible JavaScript library for building user interfaces.

  • Vue.js photo Vue.js

    🖖 Vue.js is a progressive, incrementally-adoptable JavaScript framework for building UI on the web.

  • Typescript photo Typescript

    TypeScript is a superset of JavaScript that compiles to clean JavaScript output.

  • TensorFlow photo TensorFlow

    An Open Source Machine Learning Framework for Everyone

  • Django photo Django

    The Web framework for perfectionists with deadlines.

  • D3 photo D3

    Bring data to life with SVG, Canvas and HTML. 📊📈🎉

Recommend Topics

  • javascript

    JavaScript (JS) is a lightweight interpreted programming language with first-class functions.

  • web

    Some thing interesting about web. New door for the world.

  • server

    A server is a program made to process requests and deliver data to clients.

  • Machine learning

    Machine learning is a way of modeling and interpreting data that allows a piece of software to respond intelligently.

  • Game

    Some thing interesting about game, make everyone happy.

Recommend Org

  • Facebook photo Facebook

    We are working to build community through open source technology. NB: members must have two-factor auth.

  • Microsoft photo Microsoft

    Open source projects and samples from Microsoft.

  • Google photo Google

    Google ❤️ Open Source for everyone.

  • D3 photo D3

    Data-Driven Documents codes.