Giter VIP home page Giter VIP logo
Muhammad Talha  photo

muhammadtalhasami Goto Github PK

followers: 54.0 following: 7.0 repos: 15.0 gists: 0.0

Name: Muhammad Talha

Type: User

Company: MicroElectronic Research Lab

Bio: B.E Computer Engineering Student | UIT University | Research Trainee at Merl | system verilog | verilog | verilator |python | C++

Twitter: MTALHA91345

Location: Karachi, Pakistan

Muhammad Talha 's Projects

axi4_lite_interface icon axi4_lite_interface

This repo contains an implementation of Axi4 lite interface on system verilog. Verilator and Vivado tools are used .

bank_managment_system icon bank_managment_system

This is c++ repository which is based on implementation of oops topics like single , multiple and multilevel inheritance

football_management_system icon football_management_system

Football management system DBMS python GUI project repository . This project is design on mysql database with python tiknter library for making a gui for the user

muhammadtalhasami icon muhammadtalhasami

My GitHub Profile README. Don't just fork, star it ⭐, so others can find it too! 🤝. Contributors are welcome.Feel free to contribute on my readme to make it more awesome 🔥🔥

oops icon oops

Oops object oriented programming language.This repo is basically a learning journey that how you implements the oops concepts in c++

openlane icon openlane

This is my openlane repository in which we perform synthesis of our design/module.

rtl_practice icon rtl_practice

This repository contain basic verilog codes which include the implementation of DLD (digital logic desgin ) circuits.

rv32i_processor_sv icon rv32i_processor_sv

In this repo you will get the step by step implementation of Rv32i processor from the Basic instructions to the 5-stage pipeline.Tool used for this are verilator and Vivado

rv32i_single_cycle icon rv32i_single_cycle

This repository contains an implementation of a RV32I fetch pipeline microprocessor. The RV32I is a 32-bit RISC-V instruction set architecture, with the 'I' extension indicating the base integer instructions.

snk icon snk

🟩⬜ Generates a snake game from a github user contributions graph and output a screen capture as animated svg or gif

sv_verilator icon sv_verilator

System verilog learning journey. Here in this repo you learn about how to write system verilog test bench using verilator tool a c++ test bench. Verilator is basically a 2 state tool .

verilog_practice icon verilog_practice

Verilog is a hardware description language. This repo is basically a learning journey of verilog

Recommend Projects

  • React photo React

    A declarative, efficient, and flexible JavaScript library for building user interfaces.

  • Vue.js photo Vue.js

    🖖 Vue.js is a progressive, incrementally-adoptable JavaScript framework for building UI on the web.

  • Typescript photo Typescript

    TypeScript is a superset of JavaScript that compiles to clean JavaScript output.

  • TensorFlow photo TensorFlow

    An Open Source Machine Learning Framework for Everyone

  • Django photo Django

    The Web framework for perfectionists with deadlines.

  • D3 photo D3

    Bring data to life with SVG, Canvas and HTML. 📊📈🎉

Recommend Topics

  • javascript

    JavaScript (JS) is a lightweight interpreted programming language with first-class functions.

  • web

    Some thing interesting about web. New door for the world.

  • server

    A server is a program made to process requests and deliver data to clients.

  • Machine learning

    Machine learning is a way of modeling and interpreting data that allows a piece of software to respond intelligently.

  • Game

    Some thing interesting about game, make everyone happy.

Recommend Org

  • Facebook photo Facebook

    We are working to build community through open source technology. NB: members must have two-factor auth.

  • Microsoft photo Microsoft

    Open source projects and samples from Microsoft.

  • Google photo Google

    Google ❤️ Open Source for everyone.

  • D3 photo D3

    Data-Driven Documents codes.