Giter VIP home page Giter VIP logo

Comments (8)

nikthefix avatar nikthefix commented on July 30, 2024 2

from ssd_13xx.

nicolaufg avatar nicolaufg commented on July 30, 2024

Anyone tried with a TTGO T2 ?? The screen is attached to the board and there's no way to get it to show anything using this library (others work, like olikraus UcgLib which is very slow, and the one shipped with the board which has less features). The examples in this lib do work i.e. graphictest sends to Serial the times for each benchmark and it looks good, but the screen shows nothing at all.

That's the pinout:

const uint8_t SCLK_OLED = 14; //SCLK
const uint8_t MOSI_OLED = 13; //MOSI (Master Output Slave Input)
const uint8_t CS_OLED = 15;
const uint8_t DC_OLED = 16; //OLED DC(Data/Command)
const uint8_t RST_OLED = 4; //OLED Reset

Based on the comment above, I added
pinMode(CS_OLED , INPUT_PULLUP);
to no avail.

I found this bug here, but it is said to be fixed. All in all, anyone got it to work with that board?

from ssd_13xx.

nikthefix avatar nikthefix commented on July 30, 2024

from ssd_13xx.

nicolaufg avatar nicolaufg commented on July 30, 2024

Thanks for the quick answer... Yes, I'm using the right pins (work fine with other libs):

#define TFT_DC  16
#define TFT_CS 15
#define TFT_RST 4
SSD_13XX tft = SSD_13XX(TFT_CS, TFT_DC, TFT_RST);

Well, I can pass more pins to other libs, but this one fails because longer signatures are only available for teensies and such. From SSD_13XX.cpp:

#if defined(__MK20DX128__) || defined(__MK20DX256__) || defined(__MK64FX512__) || defined(__MK66FX1M0__)
	SSD_13XX::SSD_13XX(const uint8_t cspin,const uint8_t dcpin,const uint8_t rstpin,const uint8_t mosi,const uint8_t sclk)
	{
		_cs   = cspin;
		_dc   = dcpin;
		_rst  = rstpin;
		_mosi = mosi;
		_sclk = sclk;
	}
#elif defined(__MKL26Z64__) //Teensy LC
	SSD_13XX::SSD_13XX(const uint8_t cspin,const uint8_t dcpin,const uint8_t rstpin,const uint8_t mosi,const uint8_t sclk)
	{
		_cs   = cspin;
		_dc   = dcpin;
		_rst  = rstpin;
		_mosi = mosi;
		_sclk = sclk;
		_useSPI1 = false;
		if ((_mosi == 0 || _mosi == 21) && (_sclk == 20)) _useSPI1 = true;
	}
#else //All the rest
	SSD_13XX::SSD_13XX(const uint8_t cspin,const uint8_t dcpin,const uint8_t rstpin)
	{
		_cs   = cspin;
		_dc   = dcpin;
		_rst  = rstpin;
	}
#endif

As a side note, mine is TTGO T2 v1.4 like the one at the top in my previous link.

from ssd_13xx.

nikthefix avatar nikthefix commented on July 30, 2024

from ssd_13xx.

nicolaufg avatar nicolaufg commented on July 30, 2024

Thanks a lot Nick, it works like charm! This lib does things FAST!!

Before this TTGO board I was using an Arduino Mega and logging data into a SD card. With this one, I'm uploading to ThingSpeak (public data) but I may also enable local logging. Some rough SD tests have worked so far without paying much attention to one or another SPI bus (other than providing the right pins during the init), but I'll bear in mind your comments if I get into troubles.

Have a great year!
Nico

from ssd_13xx.

nikthefix avatar nikthefix commented on July 30, 2024

from ssd_13xx.

Yury-MonZon avatar Yury-MonZon commented on July 30, 2024

I've tried to replicate your bubbles example patch, but I still can't make it to display anything with this lib.
I'm having 1.6 board (TTGO T2 v1.6).
My pins are:
#define OLED_CS 15
#define OLED_RST 4
#define OLED_DC 16
#define OLED_MOSI 13
#define OLED_MISO 12
#define OLED_SCK 14

Any help would be appreciated. Thanks.

from ssd_13xx.

Related Issues (20)

Recommend Projects

  • React photo React

    A declarative, efficient, and flexible JavaScript library for building user interfaces.

  • Vue.js photo Vue.js

    🖖 Vue.js is a progressive, incrementally-adoptable JavaScript framework for building UI on the web.

  • Typescript photo Typescript

    TypeScript is a superset of JavaScript that compiles to clean JavaScript output.

  • TensorFlow photo TensorFlow

    An Open Source Machine Learning Framework for Everyone

  • Django photo Django

    The Web framework for perfectionists with deadlines.

  • D3 photo D3

    Bring data to life with SVG, Canvas and HTML. 📊📈🎉

Recommend Topics

  • javascript

    JavaScript (JS) is a lightweight interpreted programming language with first-class functions.

  • web

    Some thing interesting about web. New door for the world.

  • server

    A server is a program made to process requests and deliver data to clients.

  • Machine learning

    Machine learning is a way of modeling and interpreting data that allows a piece of software to respond intelligently.

  • Game

    Some thing interesting about game, make everyone happy.

Recommend Org

  • Facebook photo Facebook

    We are working to build community through open source technology. NB: members must have two-factor auth.

  • Microsoft photo Microsoft

    Open source projects and samples from Microsoft.

  • Google photo Google

    Google ❤️ Open Source for everyone.

  • D3 photo D3

    Data-Driven Documents codes.