Giter VIP home page Giter VIP logo

chp2prs's People

Contributors

ajoann avatar henryheffan avatar olerichter avatar rmanohar avatar zebmehring avatar

Stargazers

 avatar  avatar  avatar  avatar  avatar  avatar

Watchers

 avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar

chp2prs's Issues

extoptSDT: add support for singed int channels

analyse the signed and unsigned behaviour of the external synthesis, currently only testing for unsigned,

the verilog export does unsigned, for 2K the wires need the signed directive

make excution of guards paralell and group

currently guards are evaluated one by one, change that for extoptSDT and group expressions, think about also implementing this for basicSDT.

if they are synthesized in the same expression block, potential circuit size reductions because of double usage

chp-opt

It says in the readme file:

-O : run CHP optimizations. Requires the chp-opt package.

Where can I find this package? Or has this not been published yet? I used the build script in the actflow repository, but when I try to use the -O flag I get:

FATAL: Optimize flag is not currently enabled in the build.

The reason why I ask is that I noticed that when a log statement is used in CHP code, this gets translated into a skip block in the resulting PRS which seems to be unnecessary. The generated PRS needs e.g., wider semi blocks to accommodate the log skips, increasing circuit size.

A problem about installation

I cloned chp2prs and run "make depend","make","make install". Ather that I run "make runtest".It showed that it didn't pass all tests.The result is like this. How to solve this problem?
image

Recommend Projects

  • React photo React

    A declarative, efficient, and flexible JavaScript library for building user interfaces.

  • Vue.js photo Vue.js

    ๐Ÿ–– Vue.js is a progressive, incrementally-adoptable JavaScript framework for building UI on the web.

  • Typescript photo Typescript

    TypeScript is a superset of JavaScript that compiles to clean JavaScript output.

  • TensorFlow photo TensorFlow

    An Open Source Machine Learning Framework for Everyone

  • Django photo Django

    The Web framework for perfectionists with deadlines.

  • D3 photo D3

    Bring data to life with SVG, Canvas and HTML. ๐Ÿ“Š๐Ÿ“ˆ๐ŸŽ‰

Recommend Topics

  • javascript

    JavaScript (JS) is a lightweight interpreted programming language with first-class functions.

  • web

    Some thing interesting about web. New door for the world.

  • server

    A server is a program made to process requests and deliver data to clients.

  • Machine learning

    Machine learning is a way of modeling and interpreting data that allows a piece of software to respond intelligently.

  • Game

    Some thing interesting about game, make everyone happy.

Recommend Org

  • Facebook photo Facebook

    We are working to build community through open source technology. NB: members must have two-factor auth.

  • Microsoft photo Microsoft

    Open source projects and samples from Microsoft.

  • Google photo Google

    Google โค๏ธ Open Source for everyone.

  • D3 photo D3

    Data-Driven Documents codes.