Giter VIP home page Giter VIP logo

mips-verilog-1's Introduction

MIPS R3000 Verilog Code

MIPS R3000 processor verilog code to be synthesized on Spartan 3E FPGA board.

This project consists of 4 Parts :

  • Single Cycle Processor
  • Multi Cycle Pipelined Processor (Without Forwarding)
  • Hazard Free Multi Cycle Processor (With Forwarding)
  • .coe TestCases

In order to run this project, you might need to regenerate memories for Instruction and Data Memory using IPCore in Xilinx ISE Tools. You can then load the coe files in your Instruction memory.

Single Cycle Processor

This part lacks unaligned load in memory and lh sh instructions.

Multi Cycle Processor

This part lacks jump instructions and lh, sh and unaligned load.

Multi Cycle with Forwarding Processor

This part lacks jump instructions and lh, sh and unaligned load.

Pipeline & Forwardings

![ScreenShot](https://raw.githubusercontent.com/silverfoxy/MIPS-Verilog/master/forwarding-1.gif)

ScreenShot

Core.v

This file consists of the main parts of our processor. Instruction Fetch is done using the generated Instruction Memory. Instruction Decode is done using an always loop, Control Unit is also implemented as an always block, ALU has another always block on its own. The forwarding multiplexers and forwarding unit has another always block.

Core_TB.v

This file is our testbench, it instantiates Core.v and generates clock and nrst signal in order for our Core module to work. You can then monitor the processor's behavior using either ISim or Modelsim.

reg_bank.v

This module is our register bank which reads asynchronously and writes on negative clk edge. We have modified our register banks to read on the clk edge for our pipelined versions.

IMem.v

This module is generated by IPCore Generator and is our Instruction memory. (Size: 32x64)

DMem.v

This module is generated by IPCore Generator and is our Data memory. We instantiate it four times in our Core module. (Size: 8x64)

*.coe

These are our instructions that will be loaded in Instruction Memory to be executed.

mips-verilog-1's People

Contributors

silverfoxy avatar

Watchers

 avatar  avatar

Recommend Projects

  • React photo React

    A declarative, efficient, and flexible JavaScript library for building user interfaces.

  • Vue.js photo Vue.js

    ๐Ÿ–– Vue.js is a progressive, incrementally-adoptable JavaScript framework for building UI on the web.

  • Typescript photo Typescript

    TypeScript is a superset of JavaScript that compiles to clean JavaScript output.

  • TensorFlow photo TensorFlow

    An Open Source Machine Learning Framework for Everyone

  • Django photo Django

    The Web framework for perfectionists with deadlines.

  • D3 photo D3

    Bring data to life with SVG, Canvas and HTML. ๐Ÿ“Š๐Ÿ“ˆ๐ŸŽ‰

Recommend Topics

  • javascript

    JavaScript (JS) is a lightweight interpreted programming language with first-class functions.

  • web

    Some thing interesting about web. New door for the world.

  • server

    A server is a program made to process requests and deliver data to clients.

  • Machine learning

    Machine learning is a way of modeling and interpreting data that allows a piece of software to respond intelligently.

  • Game

    Some thing interesting about game, make everyone happy.

Recommend Org

  • Facebook photo Facebook

    We are working to build community through open source technology. NB: members must have two-factor auth.

  • Microsoft photo Microsoft

    Open source projects and samples from Microsoft.

  • Google photo Google

    Google โค๏ธ Open Source for everyone.

  • D3 photo D3

    Data-Driven Documents codes.