Giter VIP home page Giter VIP logo

whu_mipscpu_cod_project's Introduction

WHU_MIPSCPU_COD_Project

Construction

.
├── Multi_Cycle
│   ├── FPGA/
│   ├── README.md
│   ├── Simulate
│   │   ├── create_wave.sh
│   │   ├── display_wave.sh
│   │   ├── dm.v
│   │   ├── mccomp.v
│   │   ├── mccomp_tb.v
│   │   ├── mccomp_tb.vcd
│   │   ├── mccomp_wave
│   │   ├── results/
│   │   └── wave_sim.sh
│   └── src/
├── Nexys4DDR_CPU.xdc
├── README.md
├── Single_Cycle
│   ├── FPGA/
│   ├── README.md
│   ├── Simulate
│   │   ├── Data_Memory.v
│   │   ├── Instruction_Memory.v
│   │   ├── create_wave.sh
│   │   ├── display_wave.sh
│   │   ├── results/
│   │   ├── sccomp.v
│   │   ├── sccomp_tb.v
│   │   ├── sccomp_tb.vcd
│   │   ├── sccomp_wave
│   │   └── wave_sim.sh
│   └── src/
└── Testing_Code/

12 directories, 91 files

Notes

Tools for Simulating and FPGA

For macOS

There are useful tools able to use for simulating verilog codes in macOS. Here I use iverilog as a verilog compiler, vvp as a wave-file generator and gtkwave as a wave displayer. All of three can be downloaded through the package manager Homebrew:

brew install icarus-verilog
brew install verilator
brew install --HEAD randomplum/gtkwave/gtkwave
brew install graphviz

Notice that the name is not different...

There are various instructions for these on Internet...

However, I still can't figure these three kinds of tools out very clearly, just know how to use them in a simple way: scripts named create_wave.sh, wave_sim.sh and display_wave.sh in Simulate/.

Basically:

iverilog -o [wave_file] [.v_srcs]
vvp [wave_file] > results/result_*
gtkwave [.vcd_file]

.vcd_file means the file dumped by testbench file.

For Windows

On Windows, we are required to use ModelSim for simulating and Vivado for loading the codes to FPGA(Nexys 4 DDR2). I don't find any substutional software in macOS for the latter. Welcome to inform in the issue...

Other tools

MARS

...

mipsasm(seemed not very helpful?)

...

ps: Both of two are for developing using MIPS Assembly Language.

Contribution

Great thanks to the repository of scarletborder. 🙏 It was of great help after my own design failed... 🥺

To be done

The report...etc.

whu_mipscpu_cod_project's People

Contributors

scarletborder avatar xyz-whu-coder avatar

Recommend Projects

  • React photo React

    A declarative, efficient, and flexible JavaScript library for building user interfaces.

  • Vue.js photo Vue.js

    🖖 Vue.js is a progressive, incrementally-adoptable JavaScript framework for building UI on the web.

  • Typescript photo Typescript

    TypeScript is a superset of JavaScript that compiles to clean JavaScript output.

  • TensorFlow photo TensorFlow

    An Open Source Machine Learning Framework for Everyone

  • Django photo Django

    The Web framework for perfectionists with deadlines.

  • D3 photo D3

    Bring data to life with SVG, Canvas and HTML. 📊📈🎉

Recommend Topics

  • javascript

    JavaScript (JS) is a lightweight interpreted programming language with first-class functions.

  • web

    Some thing interesting about web. New door for the world.

  • server

    A server is a program made to process requests and deliver data to clients.

  • Machine learning

    Machine learning is a way of modeling and interpreting data that allows a piece of software to respond intelligently.

  • Game

    Some thing interesting about game, make everyone happy.

Recommend Org

  • Facebook photo Facebook

    We are working to build community through open source technology. NB: members must have two-factor auth.

  • Microsoft photo Microsoft

    Open source projects and samples from Microsoft.

  • Google photo Google

    Google ❤️ Open Source for everyone.

  • D3 photo D3

    Data-Driven Documents codes.