Giter VIP home page Giter VIP logo

pulp-platform / pulpissimo Goto Github PK

View Code? Open in Web Editor NEW
357.0 43.0 159.0 9.26 MB

This is the top-level project for the PULPissimo Platform. It instantiates a PULPissimo open-source system with a PULP SoC domain, but no cluster.

License: Other

Makefile 1.27% Python 6.34% SystemVerilog 69.16% Tcl 6.79% Verilog 0.77% Shell 0.13% C 10.67% GDB 0.01% Assembly 0.09% C++ 1.83% Emacs Lisp 0.01% Mako 0.28% Smarty 2.58% CSS 0.02% Stata 0.05%

pulpissimo's Introduction

PULPissimo

Citing

If you are using the PULPissimo IPs for an academic publication, please cite the following paper:

@INPROCEEDINGS{8640145,
  author={Schiavone, Pasquale Davide and Rossi, Davide and Pullini, Antonio and Di Mauro, Alfio and Conti, Francesco and Benini, Luca},
  booktitle={2018 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S)}, 
  title={Quentin: an Ultra-Low-Power PULPissimo SoC in 22nm FDX}, 
  year={2018},
  volume={},
  number={},
  pages={1-3},
  doi={10.1109/S3S.2018.8640145}}

PULPissimo is the microcontroller architecture of the more recent PULP chips, part of the ongoing "PULP platform" collaboration between ETH Zurich and the University of Bologna - started in 2013.

PULPissimo, like PULPino, is a single-core platform. However, it represents a significant step ahead in terms of completeness and complexity with respect to PULPino - in fact, the PULPissimo system is used as the main System-on-Chip controller for all recent multi-core PULP chips, taking care of autonomous I/O, advanced data pre-processing, external interrupts, etc. The PULPissimo architecture includes:

  • Either the RI5CY core or the Ibex one as main core
  • Autonomous Input/Output subsystem (uDMA)
  • New memory subsystem
  • Support for Hardware Processing Engines (HWPEs)
  • New simple interrupt controller
  • New peripherals
  • New SDK

RISCY is an in-order, single-issue core with 4 pipeline stages and it has an IPC close to 1, full support for the base integer instruction set (RV32I), compressed instructions (RV32C) and multiplication instruction set extension (RV32M). It can be configured to have single-precision floating-point instruction set extension (RV32F). It implements several ISA extensions such as: hardware loops, post-incrementing load and store instructions, bit-manipulation instructions, MAC operations, support fixed-point operations, packed-SIMD instructions and the dot product. It has been designed to increase the energy efficiency of in ultra-low-power signal processing applications. RISCY implementes a subset of the 1.10 privileged specification. It includes an optional PMP and the possibility to have a subset of the USER MODE. RISCY implement the RISC-V Debug spec 0.13. Further information about the core can be found at http://ieeexplore.ieee.org/abstract/document/7864441/ and in the documentation of the IP.

Ibex, formely Zero-riscy, is an in-order, single-issue core with 2 pipeline stages. It has full support for the base integer instruction set (RV32I version 2.1) and compressed instructions (RV32C version 2.0). It can be configured to support the multiplication instruction set extension (RV32M version 2.0) and the reduced number of registers extension (RV32E version 1.9). Ibex implementes the Machine ISA version 1.11 and has RISC-V External Debug Support version 0.13.2. Ibex has been originally designed at ETH to target ultra-low-power and ultra-low-area constraints. Ibex is now maintained and further developed by the non-for-profit community interest company lowRISC. Further information about the core can be found at http://ieeexplore.ieee.org/document/8106976/ and in the documentation of the IP at https://ibex-core.readthedocs.io/en/latest/index.html

PULPissimo includes a new efficient I/O subsystem via a uDMA (micro-DMA) which communicates with the peripherals autonomously. The core just needs to program the uDMA and wait for it to handle the transfer. Further information about the core can be found at http://ieeexplore.ieee.org/document/8106971/ and in the documentation of the IP.

PULPissimo supports I/O on interfaces such as:

  • SPI (as master)
  • I2S
  • Camera Interface (CPI)
  • I2C
  • UART
  • Hyperbus
  • JTAG

PULPissimo also supports integration of hardware accelerators (Hardware Processing Engines) that share memory with the RI5CY core and are programmed on the memory map. An example accelerator, performing multiply-accumulate on a vector of fixed-point values, can be found in ips/hwpe-mac-engine (after updating the IPs: see below in the Getting Started section). The ips/hwpe-stream and ips/hwpe-ctrl folders contain the IPs necessary to plug streaming accelerators into a PULPissimo or PULP system on the data and control plane. For further information on how to design and integrate such accelerators, see ips/hwpe-stream/doc and https://arxiv.org/abs/1612.05974.

Documentation

  • The datasheet contains details about Memory Map, Peripherals, Registers etc. This may not be fully up-to-date.
  • PULPissimo was presented at the Week of Open Source Hardware (WOSH) 2019 at ETH Zurich.

Getting Started

We provide a simple runtime and a full featured runtime for PULPissimo. We recommend you try out first the minimal runtime and when you hit its limitations you can try the full runtime by installing the SDK.

After having chosen a runtime you can run software by either simulating the hardware or running it in a software emulation.

Prerequisites

PULPissimo is a Microcontroller provided in SystemVerilog RTL description. As such, it can be used and evaluated with many different tools. Out of the box, we provide Makefile targets for RTL simulation with Mentor Questa SIM (Intel/Altera Modelsim is not supported at the moment) and Cadence Xcelium. Being purely written in SystemVerilog, in theory the whole design can be simulated with any RTL simulator with (deccent!) SystemVerilog support. While an open source simulation target is definitely on our wish- and todo-list (e.g. out-of-the box support for Verilator), this currently still requires more extensive modifications to the RTL and scripts.

For FPGA implementation (see FPGA Section) we generate ready-made scripts for Synthesis and Implementation for Xilinx Vivado for a number of different development boards.

Simple Runtime

The simple runtime is here to get you started quickly. Using it can run and write programs that don't need any advanced features.

First install the system dependencies indicated here

Then make sure you have riscv-gnu-toolchain installed (either by compiling it or using one of the binary releases under available under the release tab) and point PULP_RISCV_GCC_TOOLCHAIN to it:

export PULP_RISCV_GCC_TOOLCHAIN=YOUR_PULP_TOOLCHAIN_PATH

Add the pulp-toolchain to your PATH variable:

export PATH=$PULP_RISCV_GCC_TOOLCHAIN/bin:$PATH

The repository for the simple runtime is included as a submodule:

git submodule update --init --recursive

The simple runtime supports many different hardware configurations. We want PULPissimo.

cd sw/pulp-runtime

Then, to use the CV32E40P (formely RI5CY) core, type:

source configs/pulpissimo_cv32.sh

or to use the Ibex (formely zero-riscy) core:

source configs/pulpissimo_ibex.sh

Now we are ready to set up the simulation environment. Normally you would want to simulate the hardware design running your program, so go here.

PULP FreeRTOS

PULP FreeRTOS allows you to build applications using the FreeRTOS kernel. You can also choose to not use the FreeRTOS kernel and build a baremetal application, though in that case driver support is not yet fully fleshed out.

First make sure you have riscv-gnu-toolchain installed (either by compiling it or using one of the binary releases under available under the release tab) and point your RISCV environment variable to it.

Also we need to set up the simulation environment. Normally you would want to simulate the hardware design running your program, so go here to do that.

Then get the repository for the pulp-freertos:

git clone https://github.com/pulp-platform/pulp-freertos/ sw/pulp-freertos

There are multiple hardware configuration supported. Select PULPissimo using the CV32E40P core. So enter the directory of pulp-freertos:

cd sw/pulp-freertos

and select the correct configuration:

source env/pulpissimo-cv32e40p.sh

You then can run a simple freertos hello world like this:

cd tests/hello_world_pmsis
make all run

There are other tests in tests/ you can run.

Software Development Kit (UNSUPPORTED WITH CURRENT RELEASE)

If you need a more complete runtime (drivers, tasks etc.) you can install the software development kit for PULP/PULPissimo.

First install the system dependencies indicated here

In particular don't forget to set PULP_RISCV_GCC_TOOLCHAIN.

You can now either follow the steps outlined here to build the full sdk or install these python dependencies

pip3 install --user artifactory twisted prettytable sqlalchemy pyelftools 'openpyxl==2.6.4' xlsxwriter pyyaml numpy configparser pyvcd sphinx

and just call

make build-pulp-sdk

and then set up the necessary environment variables with

source env/pulpissimo.sh

There exists a bug in GCC 11.1.0 which fails the sdk build with the error 'this' pointer is null [-Werror=nonnull]. If you encounter this bug use the following temporary workaround instead to build the SDK:

VP_WORKAROUND_NONNULL_BUG=yes make build-pulp-sdk

Building the RTL simulation platform

Note you need Questasim or Xcelium to do an RTL simulation of PULPissimo (verilator support planned, but not finished). Intel Modelsim for Intel FPGAs does not work.

To build the RTL simulation platform, start by getting the latest version of the IPs composing the PULP system:

make checkout

This will download all the required IPs, solve dependencies and generate the scripts. The dependency management tool is Bender.

After having access to the SDK, you can build the simulation platform by doing the following:

make build

This command builds a version of the simulation platform with no dependencies on external models for peripherals. See below (Proprietary verification IPs) for details on how to plug in some models of real SPI, I2C, I2S peripherals.

For more advanced usage have a look at ./utils/bin/bender --help for bender.

Also check out the output of make help for more useful Makefile targets.

Developing your own RTL

Bender How To

With Bender developing on top of PULPissimo is getting a lot easier. The command line tool is installed in the project root directory if you invoke make checkout. It performs dependency resolution according to a manifest file called Bender.yml. The file lists all source files of the RTL project as well as its direct dependencies. Bender can be used to generate source file lists for various different tools for simulation, ASIC/FPGA synthesis etc. Have a look at the Bender project documentation if you want to know more about it. For now we will concentrate on the most important steps when developing on PULPissimo using Bender.

Where are all the sub IPs (dependencies)?

Bender checks out the sub-ips in a hidden directory called .bender/git/checkouts. You are not supposed to change the files in this directory. If you want to get the path of a specific IP, call ./bender path <some ip (e.g. axi)> to get the relative path to an IP. To list all IPs in the project, call ./bender packages -f.

Modifying an existing IP

The hidden bender directory is not the location to introduce changes to the RTL of sub-ips. If you want to quickly try out changes to a sub-ip, call ./bender clone <ip_name> to checkout a working copy of the ip into a directory called working_dir. Call make scripts to update the source files in the scripts. Afterwards, every change you make in the RTL of this working copy will be incorporated into the RTL simulation model (once you recompile it with make build) and the FPGA build (once you synthesize it).

Adding a new IP to PULPissimo

If you want to add new IPs to pulpissimo you most likely will have to fork the pulp_soc sub-ip since this is the main repository that contains most of the SoCs RTL logic. Thus, follow the steps above to create a working copy of pulp_soc. Then you can either add your additional source code directly to pulp_socs source tree or, preferably, create a new repository with your source code, register the RTL source files in a Bender.yml manifest file and add this new repository as a dependency to pulp_soc's Bender.yml. Then you are free to instantiate your new IP somewhere within pulp_soc. We make excessive use of this strategy throughout the pulpissimo project which is a collection of many different IP repositories.

Downloading and running examples

Finally, you can download and run examples; for that you can checkout the following repositories depending on whether you use the simple runtime or the full sdk.

Simple Runtime: https://github.com/pulp-platform/pulp-runtime-examples

SDK: https://github.com/pulp-platform/pulp-rt-examples

Now you can change directory to your favourite test e.g.: for an hello world test for the SDK, run

cd pulp-rt-examples/hello
make clean all run

or for the Simple Runtime:

cd pulp-runtime-examples/hello
make clean all run

If you want to change the compiler flags, as for example if you are using CV32E40P with the XPULP extensions but you want to compile using only the RV32IMC instructions to compare performance, you can modify the Makefile inside the pulp-runtime-examples/hello folder adding:

PULP_ARCH_CFLAGS    =  -march=rv32imc -DRV_ISA_RV32
PULP_ARCH_LDFLAGS   =  -march=rv32imc
PULP_ARCH_OBJDFLAGS = -Mmarch=rv32imc

The open-source simulation platform relies on JTAG to emulate preloading of the PULP L2 memory. If you want to simulate a more realistic scenario (e.g. accessing an external SPI Flash), look at the sections below.

In case you want to see the Modelsim GUI, just type

make run gui=1

before starting the simulation.

If you want to save a (compressed) VCD for further examination, type

make run vsim/script=export_run.tcl

before starting the simulation. You will find the VCD in build/<SRC_FILE_NAME>/pulpissimo/export.vcd.gz where <SRC_FILE_NAME> is the name of the C source of the test.

Building and using the virtual platform

The virtual platform is a software-only model of the PULPissimo SoC (and also of other related SoCs). While a simulation of the hardware design is accurate it is also very very slow. The virtual platform helps you develop software quicker by providing a more or less accurate software-model of PULPissimo.

Once the sdk is installed, the following commands can be executed in the sdk directory to use the virtual platform:

source sourceme.sh
source configs/platform-gvsoc.sh

Then tests can be compiled and run as for the RTL platform. When switching from one platform to another, it may be needed to regenrate the test configuration with this command:

make conf

More information is available in the documentation here: pulp-builder/install/doc/vp/index.html

Updating the bootrom

You can customize the bootrom, have a look at the sw/bootcode/ directory. To import your changed version of the boot code into PULPissimo, just call

make bootrom

FPGA

PULPissimo has been implemented on FPGA for the various Xilinx FPGA boards.

Supported Boards

At the moment the following boards are supported:

  • Digilent Genesys2
  • Xilinx ZCU104
  • Xilinx ZCU102
  • Xilinx VCU108
  • Digilent Nexys Board Family
  • ZedBoard

In the release section you find precompiled bitstreams for all of the above mentionied boards. If you want to use the latest development version PULPissimo follow the section below to generate the bitstreams yourself.

Bitstream Generation

In order to generate the PULPissimo bitstream for a supported target FPGA board you can directly generate the bitstream for the desired board by running the corresponding make target.

This will parse the Bender.yml using the PULP bender dependency management tool to generate tcl scripts for all the IPs used in the PULPissimo project. These files are later on sourced by Vivado to generate the bitstream for PULPissimo.

You can also switch to the fpga subdirectory and start the apropriate make target to generate the bitstream:

cd target/fpga
make <board_target>

In order to show a list of all available board targets call:

make help

This process might take a while. If everything goes well your fpga directory should now contain two files:

  • pulpissimo_<board_target>.bit the bitstream file for JTAG configuration of the FPGA.
  • pulpissimo_<board_target>.bin the binary configuration file to flash to a non-volatile configuration memory.

If your invocation command to start Vivado isn't vivado you can use the Make variable VIVADO to specify the right command (e.g. make genesys2 VIVADO='vitis vivado' for ETH Almalinux machines.) Boot from ROM is not available yet. The ROM will always return the jal x0,0 to trap the core until the debug module takes over control and loads the programm into L2 memory. Once the bitstream pulpissimo_genesys2.bit is generated in the fpga folder, you can open Vivado vivado (we tried the 2018.3 version) and load the bitstream into the fpga or use the Configuration File (pulpissimo_genesys2.bin) to flash it to the on-board Configuration Memory.

Bitstream Flashing

Start Vivado then:

Open Hardware Manager
Open Target
Program device

Now your FPGA is ready to emulate PULPissimo!

Board Specific Information

Have a look at the board specific README.md files in target/fpga/pulpissimo-<board_target>/README.md for a description of peripheral mappings and default clock frequencies.

Compiling Applications for the FPGA Target

To run or debug applications for the FPGA you need to use a recent version of the PULP-SDK (commit id 3256fe7 or newer.'). Configure the SDK for the FPGA platform by running the following commands within the SDK's root directory:

source configs/pulpissimo.sh
source configs/fpgas/pulpissimo/<board_target>.sh

Currently, the only available board_target in the SDK is the genesys2.sh board. However, there are no board specific settings in this file except for the clock frequency and UART baudrate that can easily be overidden (see below). You can just source the genesys2.sh target regardless of which FPGA board you are actually using and override the frequencies and baudrate in your application. The only reason you need to source the genesys2.sh configuration file instead of e.g. the rtl platform configuration is to instruct the SDK to omit all runtime initialization (the code executed before your main function is called on the core) of the FLLs that are not available in the FPGA version of PULPissimo.

If you updated the SDK don't forget to recompile the SDK and the dependencies.

In order for the SDK to be able to configure clock dividers (e.g. the ones for the UART module) to the right values it needs to know which frequencies PULPissimo is running at. You can find the default frequencies in the above mentioned board specific README files.

In our application we need to override two weakly defined variables in our source code to configure the SDK to use these frequencies:

#include <stdio.h>
#include <rt/rt_api.h>

int __rt_fpga_fc_frequency = <Core Frequency> // e.g. 20000000 for 20MHz;
int __rt_fpga_periph_frequency = <SoC Frequency> // e.g. 10000000 for 10MHz;

int main()
{
...
}

By default, the baudrate of the UART is set to 115200.

Add the following global variable declaration to your application in case you want to change it:

unsigned int __rt_iodev_uart_baudrate = your baudrate;

Compile your application with

make clean all

This command builds the ELF binary with UART as the default io peripheral. The binary will be stored at build/pulpissimo/[app_name]/[app_name].

Core selection

By default, PULPissimo is configured to use the RI5CY core with floating-point support being enabled. To switch to Ibex (and disable floating-point support), the following steps need to be performed.

  1. Switch hardware configuration

    Open the file fpga/pulpissimo-<board_target>/rtl/xilinx_pulpissimo.v and change the CORE_TYPE parameter to the preferred value. Change the value of the USE_FPU parameter from 1 to 0. Save the file and regenerate the FPGA bitstream.

  2. Switch SDK configuration

    Instead of sourcing configs/pulpissimo.sh when configuring the SDK, source configs/pulpissimo_ibex.sh.

GDB and OpenOCD

In order to execute our application on the FPGA we need to load the binary into PULPissimo's L2 memory. To do so we can use OpenOCD in conjunction with GDB to communicate with the internal RISC-V debug module.

PULPissimo uses JTAG as a communication channel between OpenOCD and the Core. Have a look at the board specific README file on how to connect your PC with PULPissimo's JTAG port.

Due to a long outstanding issue in the RISC-V OpenOCD project (issue #359) the riscv/riscv-openocd does not work with PULPissimo. However there is a small workaround that we incorporated in a patched version of openocd. If you have access to the artifactory server, the patched openocd binary is installed by default with the make deps command in the SDK. If you don't have access to the precompiled binaries you can automatically download and compile the patched OPENOCD from source. You will need to install the following dependencies on your machine before you can compile OpenOCD:

  • autoconf >= 2.64
  • automake >= 1.14
  • texinfo
  • make
  • libtool
  • pkg-config >= 0.23 (or compatible)
  • libusb-1.0
  • libftdi
  • libusb-0.1 or libusb-compat-0.1 for some older drivers

After installing those dependecies with you OS' package manager you can download, apply the patch and compile OpenOCD with:

source sourceme.sh && ./pulp-tools/bin/plpbuild checkout build --p openocd --stdout

The SDK will automatically set the environment variable OPENOCD to the installation path of this patched version.

Launch openocd with one of the provided or your own configuration file for the target board as an argument.

E.g.:

$OPENOCD/bin/openocd -f pulpissimo/fpga/pulpissimo-genesys2/openocd-genesys2.cfg

In a seperate terminal launch gdb from your pulp_riscv_gcc installation passing the ELF file as an argument with:

$PULP_RISCV_GCC_TOOLCHAIN_CI/bin/riscv32-unknown-elf-gdb PATH_TO_YOUR_ELF_FILE

In gdb, run:

(gdb) target remote localhost:3333

to connect to the OpenOCD server.

In a third terminal launch a serial port client (e.g. screen or minicom) on Linux to riderect the UART output from PULPissimo with e.g.:

screen /dev/ttyUSB0 115200

the ttyUSB0 target may change.

Now you are ready to debug!

In gdb, load the program into L2:

(gdb) load

and run the programm:

(gdb) continue

Of course you can also benefit from the debug capabilities that GDB provides.

E.g. see the disasembled binary:

(gdb) disas

List the current C function, set a break point at line 25, continue and have fun!

(gdb) list
21
22  int main()
23  {
24    while (1) {
25      printf("Hello World!\n\r");
26     for (volatile int i=0; i<1000000; i++);
27    }
28    return 0;
29  }

(gdb) b 25
Breakpoint 1 at 0x1c0083d2: file test.c, line 25.
(gdb) c
Continuing.

Breakpoint 1, main () at test.c:25
25      printf("Hello World!\n\r");


(gdb) disas
Dump of assembler code for function main:
   0x1c0083d4 <+22>:    li  a1,1
   0x1c0083d6 <+24>:    blt s0,a5,0x1c0083e8 <main+42>
=> 0x1c0083da <+28>:    lw  a5,12(sp)
   0x1c0083dc <+30>:    slli    a1,a1,0x1
   0x1c0083de <+32>:    addi    a5,a5,1
   0x1c0083e0 <+34>:    sw  a5,12(sp)

(gdb) monitor reg a5
a5 (/32): 0x000075B7

Not all gdb commands work as expected on the riscv-dbg target. To get a list of available gdb commands execute:

monitor help

Most notably the command info registers does not work. Use monitor reg instead which has the same effect.

Proprietary verification IPs

The full simulation platform can take advantage of a few models of commercial SPI, I2C, I2S peripherals to attach to the open-source PULP simulation platform. In target/sim/vip/spi_flash, target/sim/vip/i2c_eeprom, target/sim/vip/i2s you find the instructions to install SPI, I2C and I2S models.

When the SPI flash model is installed, it will be possible to switch to a more realistic boot simulation, where the internal ROM of PULP is used to perform an initial boot and to start to autonomously fetch the program from the SPI flash. To do this, the LOAD_L2 parameter of the testbench has to be switched from JTAG to STANDALONE.

PULP platform structure

After being fully setup as explained in the Getting Started section, this root repository is structured as follows:

  • target/sim/tb contains the main platform testbench and the related files.
  • target/sim/vip contains the verification IPs used to emulate external peripherals, e.g. SPI flash and camera.
  • hw could also contain other material (e.g. global includes, top-level files)
  • target/sim/questasim contains the ModelSim/QuestaSim simulation platform.
  • sw/pulp-runtime contains the PULP runtime; sw/regression_tests contains some tests released with the SDK or runtime. Some tests, especially parallel tests, are not compatible with PULPissimo.
  • Bender.yml contains the package information used with bender. This includes a list of IPs required and source files contained within this repository.
  • When using bender, other files may be relevant: Bender.local contains configs for bender, including overrides for dependencies, Bender.lock is a generated file used by bender, utils/bin/bender is the bender executable fetched by the makefile, .bender directory contains the database and checkouts used by bender.

Requirements

The RTL platform has the following requirements:

  • Relatively recent Linux-based operating system; we tested Ubuntu 16.04, CentOS 7, and Almalinux 8.
  • QuestaSim in reasonably recent version (we tested it with version 2023.4 -- the free version provided by Altera is only partially working, see issue #12).
  • Python 3.4, with the pyyaml module installed (you can get that with pip3 install pyyaml).
  • The SDK has its own dependencies, listed in https://github.com/pulp-platform/pulp-sdk/blob/master/README.md
  • You will need the minicom command line application to view UART output in case you use the 'run' Makefile target with the FPGA platform (discouraged, you better use the approach outlined above)

External contributions

The supported way to provide external contributions is by forking one of our repositories, applying your patch and submitting a pull request where you describe your changes in detail, along with motivations. The pull request will be evaluated and checked with our regression test suite for possible integration. If you want to replace our version of an IP with your GitHub fork, just update the Bender.yml file and run ./utils/bin/bender update. While we are quite relaxed in terms of coding style, please try to follow these recommendations: https://github.com/pulp-platform/ariane/blob/master/CONTRIBUTING.md

Known issues

Support & Questions

For support on any issue related to this platform or any of the IPs, please add an issue to our tracker on https://github.com/pulp-platform/pulpissimo/issues

pulpissimo's People

Contributors

antmas avatar bluewww avatar christian-lanius avatar da-gazzi avatar daviderossi1982 avatar davideschiavone avatar francescoconti avatar haugoug avatar heavysea avatar marekpikula avatar meggiman avatar micprog avatar olivetreezhao avatar olivezhaocmc avatar politicante avatar shivampotdar avatar stefanct avatar timsaxe avatar tobiasfaller avatar vogelpi avatar

Stargazers

 avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar

Watchers

 avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar

pulpissimo's Issues

verilator compiler

Hello,
I'm trying to run .sv files in verilator. I have some difficulties with linking . First i add 'include' include "pulp_interfaces.sv" in ../pulp_soc/rtl/pulp_soc/pulp_soc.sv. And now

%Warning-REDEFMACRO: ../includes/periph_bus_defines.sv:19: Redefining existing define: NB_MASTER, with different value: 11
%Warning-REDEFMACRO: Use "/* verilator lint_off REDEFMACRO */" and lint_on around source to disable this message.
%Warning-REDEFMACRO: ../includes/soc_bus_defines.sv:116: Previous definition is here, with value: 4
%Warning-STMTDLY: ../../ips/pulp_soc/rtl/components//freq_meter.sv:36: Unsupported: Ignoring delay on this delayed statement.
%Error: ../../ips/pulp_soc/rtl/pulp_soc//pulp_soc.sv:410: Pin not found: test_cgbypass_i
%Warning-IMPLICIT: pulpissimo.sv:782: Signal definition not found, creating implicitly: s_boot_l2
%Warning-IMPLICIT: pulpissimo.sv:1029: Signal definition not found, creating implicitly: s_so
%Error: Exiting due to 1 error(s), 5 warning(s)
%Error: Command Failed /usr/local/bin/verilator_bin --cc pulpissimo.sv --top-module pulpissimo -y ../includes -y ../../ips/jtag_pulp/src/ -y ../../ips/pulp_soc/rtl/pulp_soc/ -y ../../ips/pulp_soc/rtl/components/

Maybe I 'm going the wrong way. Could you help me?
Thanks.

RISCY_FPU parameter of tb_pulp reads 0 in Questasim

Hi

First of all, great work! Thanks to all contributors.

I have managed to get all the components (sdk, simulator etc) up and running but although default value of RISCY_FPU parameter of testbench being 1, it reads 0 in questasim and core throws an exception when it encounters a floating point instruction. Is there a switch that I have to set in the build process to enable FPU?

Thanks in advance

Read/Write to AXI peripheral

Hi,

I have a problem with read/wirte to AXI peripheral which is connected to soc_interconnector via AXI_BUS.

First I wanna talk a little bit about my current setup, please correct me if I'm wrong:

  • I wanna connect an external AXI IP to PULPissimo.
  • My IP has 2 AXI-4 interfaces: 1 master and 1 slave.
  • I connect the IP to PULPissimo by remove 2 AXI_BUS_ASYNC (s_data_master & s_data_slave) on pulp_soc, and directly connect my IP to 2 AXI_BUS (s_data_in_bus & s_data_out_bus). I also remove axi_slice_dc_master/slave_wrap from pulp_soc.
  • As I understand, according to the address mapping on soc_interconnect, my IP will have base address of 0x10000000.

Now I wanna test my setup to see if it is correct or not. Note that I couldn't make the testbench for it since my IP need external hardware to work with (kind of PHY). Therefore, I built the fpga project for Genesys2 and test it there. The test software is very simple one:

#include <stdio.h>

#define BASE 0x10000000

int main()
{
  volatile int* base_addr;
  printf("Hello !\n");

  ase_addr = BASE;
  printf("BASE = %x\n", *(base_addr));
  *(base_addr) = 10;
  printf("BASE = %x\n", *(base_addr));

  return 0;
}

OpenOCD works fine, remote connect to the bridge from gdb is ok, "Hello" is printed out correctly but after that the core just hangs. At that time, if I hit Ctrl+C to break gdb, gdb shows:

unable to halt hart 992
  dmcontrol=0x83e00001
  dmstatus =0x00030c82
Remote failure reply: E0E
Remote failure reply: E0E
Remote failure reply: E0E

After that, I did another test with vanilla PULPissimo. Same software is used, and the core also hangs. By the way, I can run simulation for PULPissimo, and surprisingly it works on testbench.

# [STDOUT-CL31_PE0] Hello !
# [STDOUT-CL31_PE0] BASE = 0
# [STDOUT-CL31_PE0] BASE = 0

Can someone give me a hint please? What did I do wrong here?
Thanks a lot in advanced.
Regards,
Dao

Download program with JTAG

1, How to use this pin โ€œpad_bootselโ€?
2, I have build a FPGA project of pulpissimo. How do I download the program to chip with JTAG interface?

How to report cycles with c-model

hi,
I want to evaluate the execution time of a large program on GVSOC.
I found that the instruction trace can report the cycles of the program, but it is too slow to simulate it with instruction trace.
How can I evaluate the execution time faster?

edge syntax error while building the RTL simulation platform

Hello,

I am trying to build the simulation environment of the PULPissimo and follow the instruction in the README.
When running the make command in the 'Building the RTL simulation platform' section I get the following error when the make get to build the 'tbtools' (the same error happened when the make tries to build the 'tb' component):
(Also attached print screen)

  Building tbtools/tbtools
** Warning: (vlog-52) Unknown message number: 13314.
** Error: ../ips/tbtools/dpi_models/dpi_models.sv(361): near "edge": syntax error, unexpected edge
** Error: ../ips/tbtools/dpi_models/dpi_models.sv(394): near "edge": syntax error, unexpected edge
** Error: ../ips/tbtools/dpi_models/dpi_models.sv(440): near "edge": syntax error, unexpected edge
** Error: ../ips/tbtools/tb_driver/tb_driver.sv(128): (vlog-2164) Class or package 'dpi_models' not found.
** Error: ../ips/tbtools/tb_driver/tb_driver.sv(128): Undefined variable: dpi_models.
** Error: ../ips/tbtools/tb_driver/tb_driver.sv(128): near "::": syntax error, unexpected ::
** Error: ../ips/tbtools/tb_driver/tb_driver.sv(131): Illegal declaration after the statement near line '128'.  Declarations must precede statements.  Look for stray semicolons.
make[2]: *** [/data.cc/data/a/home/cc/students/enginer/idoraz/pulp_sim/pulpissimo/sim/modelsim_libs/tbtools_lib/tbtools.vmake] Error 2
make[1]: *** [build] Error 2
make: *** [build] Error 2

edge_syntax_error_print_screen

Please help.
Thanks,
Ido

pulp-rt-examples/periph/uart/loopback not working with pulpissimo

Hi @haugoug and @politicante,
I'm trying to run the example script https://github.com/pulp-platform/pulp-rt-examples/tree/master/periph/uart/loopback with pulpissimo and the default testbench (which sets uart TX = RX), however it is not able to finish. The following call is perpetually blocking : rt_uart_write(uart, tx_buffer, BUFFER_SIZE, NULL);.

More specifically, it is the __rt_wait_event_check(event, call_event); child call performed in rt_periph_copy that seems to block everything. I didn't have the time to dive into the details of the Events Unit and how it interacts with udma, but my intuition here is that the processor is not able to properly interact with udma, and nothing is sent on the UART TX. As rt_uart_write in this case is blocking and udma is idle, no event is sent back to the CPU although the scheduler expects one.

Here below is a QuestaSim screenshot showing the whole RTL simulation, we can see that:

  • The CPU tries to communicate with udma (cf. APB signals)
  • Despite the DMA commands, the udma UART TX interface is not starting a transfer, the FSM stays idle (cf. CS signal)

modelsim screenshot

Did I misunderstand something in the setup, or could this be a bug ?
Any help would be much appreciated. Thanks.

syntax error with CDN incisive toolchain

mac_streamer.sv line 76:
.tcdm ( tcdm.master[0:0] ), // this syntax is necessary as hwpe_stream_source expects an array of interfaces

modport definition for tcdm port is already defined in line 43, solution:

.tcdm ( tcdm[0:0] ), // this syntax is necessary as hwpe_stream_source expects an array of interfaces

hwpe_ctrl_ucode.sv line 127
string str = "";

error - multiple drivers to always_comb output var str
solution:
string str;

Compiler Error for Makefile.sa

hi,
I would like to compile a sole simulator with the Makefile.sa in sdk/platform/gvsoc/models/cpu/iss๏ผ›
But I got the compiler error for โ€˜iss_pccr_incrโ€™;
How can I compile a sole simualtor with the peripheral devices;
The Makefile.sa works for which version of the gvsoc?

Error building runtime w/ pulpissimo

Hi @haugoug,

Since commit pulp-platform/pulp-rt@0dfe67f, building the runtime for pulpissimo fails :

/home/maxhonneux/PULP/opt/riscv/bin/riscv32-unknown-elf-gcc  -march=rv32imfcxpulpv2 -mfdiv -D__riscv__ -D__RT_USE_IO=1 -D__RT_USE_ASSERT=1 -Os -g -fno-jump-tables -Werror -fno-tree-loop-distribute-patterns  -Wextra -Wall -Wno-unused-parameter -Wno-unused-variable
-Wno-unused-function -Wundef -fdata-sections -ffunction-sections -I/linux/maxhonneux/PULP/pulp-builder/install/include/io -I/linux/maxhonneux/PULP/pulp-builder/install/include -include /home/maxhonneux/PULP/pulp-builder/build/pulp-rt/pulpissimo/fc_config.h    -DLANGUAGE_ASSEMBLY -MMD -MP -c kernel/riscv/task.S -o /home/maxhonneux/PULP/pulp-builder/build/pulp-rt/pulpissimo/rt/fc/kernel/riscv/task.o
kernel/riscv/task.S:25:10: fatal error: archi/eu/eu_v3.h: No such file or directory
 #include "archi/eu/eu_v3.h"
          ^~~~~~~~~~~~~~~~~~
compilation terminated.

archi/eu/eu_v3.h is not copied to the install directory, probably (I guess) because pulpissimo is still configured to use the events unit v2 in pulp-configs/configs/chips/pulpissimo/pulpissimo.json.
Using pulp-rt before pulp-platform/pulp-rt@0dfe67f works fine.

saxpy with FPU no floating instruction.

I want to compare the benefit of the FPU. But for the moment I see that the RISCY+FPU is slower.
I use the following function :
image

x and y are float and the coef is 1.2.

The result :
image

I use this command to disassemble: make dis disopt="-d -l" | less

And I see that RISCY+FPU don't use floating instruction :

image

Do you know why ?

Thanks in advance.

FFT error on RTL simulation platform

hi ,
I try to simulate a FFT program on pulpissimo.
I have verify the FFT program on GVSOC platform, which has gotten the successful results.
But when I simulating the same program on RTL platform, I got the following error:
++++++++++++++++++++++++++++++++++++++++++++++++

[dbg_if_soc] WRITE32 burst @1c013400 for 1024 bytes.
[dbg_if_soc] WRITE32 burst @1c013800 for 1024 bytes.
[dbg_if_soc] WRITE32 burst @1c013c00 for 1024 bytes.
[dbg_if_soc] WRITE32 burst @1c014000 for 1024 bytes.
[dbg_if_soc] WRITE32 burst @1c014400 for 288 bytes.
[TB] 68436173188 - Triggering fetch enable
[TRACER] Output filename is: trace_core_1f_0.log
68446881860: Illegal instruction (core 0) at PC 0x1c008080:
68447194436, Branch decision is X in module tb_pulp.i_dut.soc_domain_i.pulp_soc_i.fc_subsystem_i.FC_CORE.TB_RISCV.lFC_CORE.RISCV_CORE.id_stage_i
** Note: $stop : /home/lgq/tangshibin/riscv2019/pulpissimo/sim/../ips/riscv/./rtl/riscv_id_stage.sv(1558)
Time: 68447194436 ps Iteration: 19 Instance: /tb_pulp/i_dut/soc_domain_i/pulp_soc_i/fc_subsystem_i/FC_CORE/TB_RISCV/lFC_CORE/RISCV_CORE/id_stage_i
Break at /home/lgq/tangshibin/riscv2019/pulpissimo/sim/../ips/riscv/./rtl/riscv_id_stage.sv line 1558

++++++++++++++++++++++++++++++++++++++++++++++++
I also check the wave with modelsim. PC: 1c008080 is the first instruction for RTL simulation;
But in GVSOC, the first instruction is PC:1a000080. I don't know why?

Master branch submodules not accessible to public

Hi guys,

cloning the master branch requires a password to pull in the submodules that have been added a couple of days ago. Is that intended?

blofeld@PULP-Test:~/projects$ git clone --recursive https://github.com/pulp-platform/pulpissimo.git 
Cloning into 'pulpissimo'...
remote: Enumerating objects: 93, done.
remote: Counting objects: 100% (93/93), done.
remote: Compressing objects: 100% (70/70), done.
remote: Total 598 (delta 48), reused 58 (delta 23), pack-reused 505
Receiving objects: 100% (598/598), 3.11 MiB | 2.52 MiB/s, done.
Resolving deltas: 100% (275/275), done.
Submodule 'ml_tests' ([email protected]:pulp-tests/ml_tests.git) registered for path 'ml_tests'
Submodule 'parallel_bare_tests' ([email protected]:pulp-sw/parallel_bare_tests.git) registered for path 'parallel_bare_tests'
Submodule 'pulp_tests' ([email protected]:pulp-sw/pulp_tests.git) registered for path 'pulp_tests'
Submodule 'riscv_tests' ([email protected]:pulp-sw/riscv_tests.git) registered for path 'riscv_tests'
Submodule 'rt-tests' ([email protected]:pulp-tests/rt-tests.git) registered for path 'rt-tests'
Submodule 'sequential_bare_tests' ([email protected]:pulp-sw/sequential_bare_tests.git) registered for path 'sequential_bare_tests'
Cloning into '/home/blofeld/projects/pulpissimo/ml_tests'...
[email protected]'s password: 

pulp-soc syntax error in DC (assignment to all elements of localparam array)

When I try to synthesize Pulpissimo (design compiler L2016-03), error comes from pulp_soc module:

Information: Building the design 'pulp_soc' instantiated from design 'soc_domain_0_1_32_64_32_6_4_6_8_4_8_8' with
	the parameters "CORE_TYPE=0,USE_FPU=1,AXI_ADDR_WIDTH=32,AXI_DATA_IN_WIDTH=64,AXI_DATA_OUT_WIDTH=32,AXI_ID_IN_WIDTH=6,AXI_ID_OUT_WIDTH=4,AXI_USER_WIDTH=6,EVNT_WIDTH=8,BUFFER_WIDTH=8". (HDL-193)
Error:  ../pulpissimo/ips/pulp_soc/rtl/pulp_soc/pulp_soc.sv:269: Syntax error at or near token 'FC_Core_MHARTID': arrays do not have named members. (VER-294)

Line 269: localparam dm::hartinfo_t [NrHarts-1:0] HARTINFO = '{FC_Core_MHARTID: RI5CY_HARTINFO, default: 0};

This issue is relatively new as this code didn't exist May 6th!

pulp_rt_examples/hello, how to run it on GVSOC

Hello, I want to try the pulp_rt_examples on GVSOC, which is the default platform described in Builder DOC.
But it always start the RTL simulation. Because I haven't install ModelSim, I got the error vsim command is not found.

If I want to run all pulp_rt_exmaples with GVSOC, which steps are needed to modified the Makefile?
I try to modify the configs/rtl.sh (platform=gvsoc) in pulp-builder, the following errors are got:
/*********************************************************************************************************
File "/home/lgq/riscv2019/pulp-builder/install/ws/python/vp_core.py", line 479, in get_module
file, path, descr = imp.find_module(x, path)
File "/usr/lib/python3.5/imp.py", line 296, in find_module
raise ImportError(_ERR_MSG.format(name), name=name)
ImportError: No module named 'pulp/itc/itc_v1'
/home/lgq/riscv2019/pulp-builder/pulp-rt-examples/hello/build/pulpissimo/__rules.mk:143: recipe for target 'run' failed
make: *** [run] Error 1
***********************************************************************************************************/

Connect external module via AXI

Hi, to be honest, this is not an issue, I just don't really know what is the correct way to do it. All I want is connect an external module to Pulpissimo core.

  1. As I understand then AXI interface in pulpissimo is now left unconnected (since there are no cluster), so if I wanna connect something then just connect the leftover signals in soc_domain to my module, is that right?
  2. My module uses AXI4-Lite, so I have to use AXI-Lite to AXI adapter in https://github.com/pulp-platform/axi?
  3. At the moment, I couldn't find the memory map for AXI in pulpissimo, could you please point me to it? I suppose pulpissimo doesn't have it because of 1.

Thank you.

ASIC Synthesis trial...

Hi,
In an attempt to synthesize the pulpissimo, I generated the RTL using the steps in README and ran the RTL through ASIC synthesis (Design Compiler).
I face multiple issues, and I see you mention that example scripts for ASIC synthesis may be deployed in the future. Is there any beta/example script available yet?

The issues I see so far are listed below, hope you find the inputs useful and have some directions for how to work around:

  1. Separation of testbench code and RTL code
    I excluded **tb **testbench, freq_meter.sv, zeroriscy_tracer.sv files for now
  2. Separation of include files and source files
    I excluded include files and included following in search path
    pulpissimo/rtl/includes
    pulpissimo/ips/riscv/include
    pulpissimo/ips/zero-riscy/include
    pulpissimo/ips/fpu/hdl/fpu_v0.1
  3. Duplicate files
    ips/axi/axi_slice_dc/axi_slice_dc_slave_wrap.sv
    ips/pulp_soc/rtl/components/axi_slice_dc_slave_wrap.sv
  4. Tech/fpga files I had to exclude
    ips/pulp_soc/rtl/pulpemu/pulpemu_zynq2pulp_gpio.sv
  5. Elaboration issues
    I am assuming pulpissimo as top module and synthesizing with parameter USE_FPU = 0
    Error: Width mismatch on port 'AXI_Master_w_data_o' of reference to 'soc_interconnect' in 'soc_interconnect_wrap_h_715_242_663'. (LINK-3)
    Error: Unable to match ports of cell soc_domain_i/pulp_soc_i/i_soc_interconnect_wrap/i_soc_interconnect ('soc_interconnect') to 'soc_interconnect_N_HWPE_PORTS4_N_L2_BANKS4_N_L2_BANKS_PRI2_ADDR_L2_WIDTH15_ADDR_L2_PRI_WIDTH13_ROM_ADDR_WIDTH13_AXI_32_ID_WIDTH8_AXI_32_USER_WIDTH6_AXI_ADDR_WIDTH32_AXI_DATA_WIDTH64_AXI_STRB_WIDTH8_AXI_USER_WIDTH6_AXI_ID_WIDTH7'. (LINK-25)
  6. Linking issues
    Warning: Unable to resolve reference 'BUFGMUX' in 'pulp_clock_mux2'. (LINK-5)
    Warning: Unable to resolve reference 'gf22_FLL' in 'soc_clk_rst_gen'. (LINK-5)

Warning: Unable to resolve reference 'pad_functional_pd' in 'pad_frame'. (LINK-5)
Warning: Unable to resolve reference 'pad_functional_pu' in 'pad_frame'. (LINK-5)
7. Clock definition
I looked through the RTL to arrive at 2 clock sources.
create_clock -name clk_s [get_pins soc_domain_i/pulp_soc_i/i_clk_rst_gen/clk_soc_o] -period $clk_period
create_clock -name clk_p [get_pins soc_domain_i/pulp_soc_i/i_clk_rst_gen/clk_per_o] -period $clk_period
However, the clk_soc_o does not reach intended modules e.g RISCV core

Steps used to generate RTL :
./update-ips
source setup/vsim.sh
cd sim/
make clean lib build opt

BR
Vijay

Cannot find vopt

I've followed the instructions to run the simulation: clone, update-ips, source vsim.sh, run make clean lib build opt. At some point during make I get an error Error: cannot find "/opt/intelFPGA/17.1/modelsim_ase/bin/../linux/vopt"

I have installed the latest version of Altera's/Intel's ModelSim that I could find online. Version 17.1 of Quartus, but the ModelSim version is 10.5b. vopt executable does exist, but is a symlink to the vco script which, after being ran as vopt, resolves to the path in the error message, where vopt doesn't exist. No other vopt executable exist anywhere.

Am I doing something wrong? Can vopt be replaced with some other executable? README.md says that pulpissimo was tested with ModelSim 10.6b, but I can not find that version anywhere online. Is it Altera's/Intel's ModelSim or Mentor's or another one?

I am using 64b Ubuntu. I did add ModelSim's binaries to my PATH.

pad_functional PV and PU syntax error

When synthesizing Pulpissimo subdesigns functional_pad_pv and functional_pad_pu (design compiler L2016-03) There is syntax error

Compiling source file ../pulpissimo/ips/tech_cells_generic/pad_functional.sv
Error: ../pulpissimo/ips/tech_cells_generic/pad_functional.sv:19: The 'inout' port 'PAD' is not of net type. (VER-522)

Can't use SPI to load the L2

Hello,

I want to use SPI instead of JTAG to load the L2. I've installed the S25FS256S module and followed the instructions of the vip/spi_flash/README.mk file.
Changing the LOAD_L2 parameter in the testbench has no effect (also USE_S25FS256S_MODEL is set to 1).
The pulp-sdk is using the pulpissimo.sh and the platform-rtl.sh.

Can you reproduce this behaviour?

hwme/hwme.c:38: undefined reference to `hwme_vectstride2_set'

I want try the MAC-accelerator;
The sdk is compiled following the instructions: https://github.com/pulp-platform/pulp-sdk;
the toolchain is compiled following the instructions: https://github.com/pulp-platform/pulp-riscv-gnu-toolchain;

But I got the error as following:
pulp-sdk/pulp-rt-examples/accelerators/hwme/hwme.c:38: undefined reference to `hwme_vectstride2_set'
If it is commented in hwme.c, the hwme.c can be compiled successfully.

coremark micro-riscy

I run coremark on riscy, zero riscy and riscy+FPU with no error, but with microriscy I have the following error :
image

Do you know why ?

Does pulpissimo support burn the application into flash by JTAG?

Our FPGA engineer port the pulpissimo code to Xilinx FPGA board. We have verified the peripherals, such as I2C, UART, SPIM, Camera, I2S. Now we want to debug the board boot from external nor flash, we want to implement it by the following steps:

  1. Modify the bootrom code to load the application from flash and run it.
  2. Burn the application binary into flash through JTAG.

We checked the pulp-debug-bridge code, it seems that it does not support burn app binary into flash. So we have two options:

  1. Modify the pulp-debug-bridge tools and JTAG RTL code to support burn app
  2. Maybe use the OpenOCD tool + modification of JTAG RTL to implement it, because openocd has flash program interface.

Which way is less work? If any other better way, please info us, thanks a lot!

error while Building the RTL simulation platform

Hello
following the instructions https://github.com/pulp-platform/pulpissimo/blob/master/README.md I run

.../pulpissimo/sim$ LANG=C make build
  Building tb/tb
** Warning: ../rtl/tb/dpi_models/dpi_models.sv(176): (vlog-2240) Treating stand-alone use of function 'dpi_jtag_bind' as an implicit VOID cast.
** Warning: ../rtl/tb/dpi_models/dpi_models.sv(188): (vlog-2240) Treating stand-alone use of function 'dpi_ctrl_bind' as an implicit VOID cast.
** Warning: ../rtl/tb/tb_driver/tb_driver.sv(52): (vlog-2250) Function "register_qspim_itf" has no return value assignment.
** Warning: ../rtl/tb/tb_driver/tb_driver.sv(59): (vlog-2250) Function "register_jtag_itf" has no return value assignment.
** Warning: ../rtl/tb/tb_driver/tb_driver.sv(64): (vlog-2250) Function "register_ctrl_itf" has no return value assignment.
** Warning: ../rtl/tb/tb_pulp.sv(216): (vlog-2244) Variable 'i_tb_driver' is implicitly static. You must either explicitly declare it as static or automatic
or remove the initialization in the declaration of variable.
** Warning: ../rtl/tb/tb_pulp.sv(218): (vlog-2240) Treating stand-alone use of function 'register_qspim_itf' as an implicit VOID cast.
**** Error: ../rtl/tb/tb_pulp.sv(218): (vlog-2110) Illegal reference to interface "qspi_0_csn_1".
** Error: ../rtl/tb/tb_pulp.sv(218): (vlog-2110) Illegal reference to interface "qspi_0_csn_0".**
** Warning: ../rtl/tb/tb_pulp.sv(219): (vlog-2240) Treating stand-alone use of function 'register_jtag_itf' as an implicit VOID cast.
** Warning: ../rtl/tb/tb_pulp.sv(220): (vlog-2240) Treating stand-alone use of function 'register_ctrl_itf' as an implicit VOID cast.
make[2]: *** [/home/barbarossa/workspace/pulpissimo/pulpissimo/sim/modelsim_libs/tb_lib/tb.vmake] Error 2
make[1]: *** [build] Error 2
make: *** [build] Error 2

please help me.
thanks

Formality crashes while reading in pulpissimo

Hi guys,

I tried to synthesize pulpissimo down to gate-level using Synopsys Design Compiler (I used gtech.db as target library for test purposes). The synthesis basically worked (except of course that I didn't provide memory IPs, FLL IPs, I/O cells and so on). Then I used the scripts generated by Design Compiler (default.svf and the script generated by read_file {..} -write_script formality.script) to start verification using Formality. Unfortunately, Formality crashed while reading in the RTL design.

Did you ever had that case? Or which verification tool are you using?

Thanks & Best Regards,
Dustin

The OpenOCD error on RTL simulation platform

Following the guide:https://github.com/pulp-platform/pulpissimo/blob/master/rtl/tb/README.md, I tried the OpenOCD to connect the RTL platform and get the errors below.
on RTL platform side, continuously print the following log:

Write 1 0 0
Tick with: TCK=1 TMS=0 TDI=0 TRSTn=1 --> TDO=0
Received a command 2
Write 0 1 0
Tick with: TCK=0 TMS=1 TDI=0 TRSTn=1 --> TDO=0
Received a command R
Read req
Tick with: TCK=0 TMS=1 TDI=0 TRSTn=1 --> TDO=0
Received a command 6
Write 1 1 0
Tick with: TCK=1 TMS=1 TDI=0 TRSTn=1 --> TDO=0
Received a command 2
Write 0 1 0
Tick with: TCK=0 TMS=1 TDI=0 TRSTn=1 --> TDO=0
Received a command 6
Write 1 1 0
Tick with: TCK=1 TMS=1 TDI=0 TRSTn=1 --> TDO=0
Received a command 0
Write 0 0 0
Tick with: TCK=0 TMS=0 TDI=0 TRSTn=1 --> TDO=0
Received a command 4
Write 1 0 0
Tick with: TCK=1 TMS=0 TDI=0 TRSTn=1 --> TDO=0
Received a command 0
Write 0 0 0
Tick with: TCK=0 TMS=0 TDI=0 TRSTn=1 --> TDO=0
Received a command 0
Write 0 0 0
Tick with: TCK=0 TMS=0 TDI=0 TRSTn=1 --> TDO=0
Received a command 4
Write 1 0 0
Tick with: TCK=1 TMS=0 TDI=0 TRSTn=1 --> TDO=0
Received a command 0
Write 0 0 0
Tick with: TCK=0 TMS=0 TDI=0 TRSTn=1 --> TDO=0
Received a command 4
Write 1 0 0
Tick with: TCK=1 TMS=0 TDI=0 TRSTn=1 --> TDO=0
Received a command 0
Write 0 0 0
Tick with: TCK=0 TMS=0 TDI=0 TRSTn=1 --> TDO=0
Received a command 4
Write 1 0 0
Tick with: TCK=1 TMS=0 TDI=0 TRSTn=1 --> TDO=0
Received a command 0
Write 0 0 0
Tick with: TCK=0 TMS=0 TDI=0 TRSTn=1 --> TDO=0
Received a command b
blink off
Tick with: TCK=0 TMS=0 TDI=0 TRSTn=1 --> TDO=0
Received a command Q
Quit req
Remote end disconnected
Tick with: TCK=0 TMS=0 TDI=0 TRSTn=1 --> TDO=0
Attempting to accept client socket

The OpenOCD side:

chenx@wangzhongping-All-Series:~/build/pulpissimo/rtl/tb$ /opt/openocd/bin/openocd -f pulpissimo_debug.cfg
Open On-Chip Debugger 0.10.0+dev-00529-g9fac2de (2019-07-11-17:23)
Licensed under GNU GPL v2
For bug reports, read
	http://openocd.org/doc/doxygen/bugs.html
User : 13 32 command.c:544 command_print(): debug_level: 4
Debug: 14 32 command.c:143 script_debug(): command - ocd_command ocd_command type ocd_adapter_khz 1000
Debug: 15 32 command.c:143 script_debug(): command - adapter_khz ocd_adapter_khz 1000
Debug: 17 32 core.c:1645 jtag_config_khz(): handle jtag khz
Debug: 18 32 core.c:1612 adapter_khz_to_speed(): convert khz to interface specific speed value
Debug: 19 32 core.c:1612 adapter_khz_to_speed(): convert khz to interface specific speed value
User : 20 32 command.c:544 command_print(): adapter speed: 1000 kHz
Debug: 21 33 command.c:143 script_debug(): command - ocd_command ocd_command type ocd_interface remote_bitbang
Debug: 22 33 command.c:143 script_debug(): command - interface ocd_interface remote_bitbang
Debug: 24 33 command.c:364 register_command_handler(): registering 'ocd_remote_bitbang_port'...
Debug: 25 33 command.c:364 register_command_handler(): registering 'ocd_remote_bitbang_host'...
Warn : 26 33 adapter.c:160 handle_interface_command(): Adapter driver 'remote_bitbang' did not declare which transports it allows; assuming legacy JTAG-only
Info : 27 33 transport.c:117 allow_transports(): only one transport option; autoselect 'jtag'
Debug: 28 33 command.c:364 register_command_handler(): registering 'ocd_jtag_flush_queue_sleep'...
Debug: 29 33 command.c:364 register_command_handler(): registering 'ocd_jtag_rclk'...
Debug: 30 33 command.c:364 register_command_handler(): registering 'ocd_jtag_ntrst_delay'...
Debug: 31 33 command.c:364 register_command_handler(): registering 'ocd_jtag_ntrst_assert_width'...
Debug: 32 33 command.c:364 register_command_handler(): registering 'ocd_scan_chain'...
Debug: 33 33 command.c:364 register_command_handler(): registering 'ocd_jtag_reset'...
Debug: 34 33 command.c:364 register_command_handler(): registering 'ocd_runtest'...
Debug: 35 33 command.c:364 register_command_handler(): registering 'ocd_irscan'...
Debug: 36 33 command.c:364 register_command_handler(): registering 'ocd_verify_ircapture'...
Debug: 37 33 command.c:364 register_command_handler(): registering 'ocd_verify_jtag'...
Debug: 38 33 command.c:364 register_command_handler(): registering 'ocd_tms_sequence'...
Debug: 39 33 command.c:364 register_command_handler(): registering 'ocd_wait_srst_deassert'...
Debug: 40 33 command.c:364 register_command_handler(): registering 'ocd_jtag'...
Debug: 41 33 command.c:364 register_command_handler(): registering 'ocd_jtag'...
Debug: 42 33 command.c:364 register_command_handler(): registering 'ocd_jtag'...
Debug: 43 33 command.c:364 register_command_handler(): registering 'ocd_jtag'...
Debug: 44 33 command.c:364 register_command_handler(): registering 'ocd_jtag'...
Debug: 45 33 command.c:364 register_command_handler(): registering 'ocd_jtag'...
Debug: 46 33 command.c:364 register_command_handler(): registering 'ocd_jtag'...
Debug: 47 33 command.c:364 register_command_handler(): registering 'ocd_jtag'...
Debug: 48 33 command.c:364 register_command_handler(): registering 'ocd_jtag'...
Debug: 49 33 command.c:364 register_command_handler(): registering 'ocd_jtag'...
Debug: 50 33 command.c:364 register_command_handler(): registering 'ocd_jtag'...
Debug: 51 33 command.c:364 register_command_handler(): registering 'ocd_jtag'...
Debug: 52 33 command.c:364 register_command_handler(): registering 'ocd_jtag'...
Debug: 53 33 command.c:364 register_command_handler(): registering 'ocd_svf'...
Debug: 54 33 command.c:364 register_command_handler(): registering 'ocd_xsvf'...
Debug: 55 33 command.c:143 script_debug(): command - ocd_command ocd_command type ocd_remote_bitbang_host localhost
Debug: 56 33 command.c:143 script_debug(): command - remote_bitbang_host ocd_remote_bitbang_host localhost
Debug: 58 33 command.c:143 script_debug(): command - ocd_command ocd_command type ocd_remote_bitbang_port 46398
Debug: 59 33 command.c:143 script_debug(): command - remote_bitbang_port ocd_remote_bitbang_port 46398
Debug: 61 33 command.c:143 script_debug(): command - ocd_command ocd_command type ocd_jtag newtap riscv unknown0 -irlen 5 -expected-id 0x10102001
Debug: 62 33 command.c:143 script_debug(): command - ocd_jtag ocd_jtag newtap riscv unknown0 -irlen 5 -expected-id 0x10102001
Debug: 63 33 tcl.c:550 jim_newtap_cmd(): Creating New Tap, Chip: riscv, Tap: unknown0, Dotted: riscv.unknown0, 4 params
Debug: 64 33 tcl.c:574 jim_newtap_cmd(): Processing option: -irlen
Debug: 65 33 tcl.c:574 jim_newtap_cmd(): Processing option: -expected-id
Debug: 66 33 core.c:1304 jtag_tap_init(): Created Tap: riscv.unknown0 @ abs position 0, irlen 5, capture: 0x1 mask: 0x3
Debug: 67 33 command.c:143 script_debug(): command - ocd_command ocd_command type ocd_jtag newtap riscv cpu -irlen 5 -expected-id 0x249511C3
Debug: 68 33 command.c:143 script_debug(): command - ocd_jtag ocd_jtag newtap riscv cpu -irlen 5 -expected-id 0x249511C3
Debug: 69 33 tcl.c:550 jim_newtap_cmd(): Creating New Tap, Chip: riscv, Tap: cpu, Dotted: riscv.cpu, 4 params
Debug: 70 33 tcl.c:574 jim_newtap_cmd(): Processing option: -irlen
Debug: 71 33 tcl.c:574 jim_newtap_cmd(): Processing option: -expected-id
Debug: 72 33 core.c:1304 jtag_tap_init(): Created Tap: riscv.cpu @ abs position 1, irlen 5, capture: 0x1 mask: 0x3
Debug: 73 33 command.c:143 script_debug(): command - ocd_command ocd_command type ocd_jtag names
Debug: 74 33 command.c:143 script_debug(): command - ocd_jtag ocd_jtag names
TAP: riscv.unknown0

TAP: riscv.cpu

Debug: 75 33 command.c:143 script_debug(): command - ocd_command ocd_command type ocd_target create riscv.cpu riscv -chain-position riscv.cpu -coreid 0x3e0
Debug: 76 33 command.c:143 script_debug(): command - ocd_target ocd_target create riscv.cpu riscv -chain-position riscv.cpu -coreid 0x3e0
Debug: 77 33 target.c:1941 target_free_all_working_areas_restore(): freeing all working areas
Debug: 78 33 command.c:364 register_command_handler(): registering 'ocd_riscv'...
Debug: 79 33 command.c:364 register_command_handler(): registering 'ocd_riscv'...
Debug: 80 33 command.c:364 register_command_handler(): registering 'ocd_riscv'...
Debug: 81 33 command.c:364 register_command_handler(): registering 'ocd_riscv'...
Debug: 82 33 command.c:364 register_command_handler(): registering 'ocd_riscv'...
Debug: 83 33 command.c:364 register_command_handler(): registering 'ocd_riscv'...
Debug: 84 33 command.c:364 register_command_handler(): registering 'ocd_riscv'...
Debug: 85 33 command.c:364 register_command_handler(): registering 'ocd_riscv'...
Debug: 86 33 command.c:364 register_command_handler(): registering 'ocd_riscv'...
Debug: 87 33 command.c:364 register_command_handler(): registering 'ocd_riscv'...
Debug: 88 33 command.c:364 register_command_handler(): registering 'ocd_riscv'...
Debug: 89 33 command.c:364 register_command_handler(): registering 'ocd_riscv'...
Debug: 90 33 command.c:364 register_command_handler(): registering 'ocd_riscv'...
Debug: 91 33 command.c:364 register_command_handler(): registering 'ocd_arm'...
Debug: 92 33 command.c:364 register_command_handler(): registering 'ocd_arm'...
Debug: 93 33 command.c:364 register_command_handler(): registering 'ocd_arm'...
Debug: 94 33 command.c:364 register_command_handler(): registering 'ocd_arm'...
Debug: 95 33 command.c:364 register_command_handler(): registering 'ocd_riscv.cpu'...
Debug: 96 33 command.c:364 register_command_handler(): registering 'ocd_riscv.cpu'...
Debug: 97 33 command.c:364 register_command_handler(): registering 'ocd_riscv.cpu'...
Debug: 98 33 command.c:364 register_command_handler(): registering 'ocd_riscv.cpu'...
Debug: 99 33 command.c:364 register_command_handler(): registering 'ocd_riscv.cpu'...
Debug: 100 33 command.c:364 register_command_handler(): registering 'ocd_riscv.cpu'...
Debug: 101 33 command.c:364 register_command_handler(): registering 'ocd_riscv.cpu'...
Debug: 102 33 command.c:364 register_command_handler(): registering 'ocd_riscv.cpu'...
Debug: 103 33 command.c:364 register_command_handler(): registering 'ocd_riscv.cpu'...
Debug: 104 33 command.c:364 register_command_handler(): registering 'ocd_riscv.cpu'...
Debug: 105 33 command.c:364 register_command_handler(): registering 'ocd_riscv.cpu'...
Debug: 106 33 command.c:364 register_command_handler(): registering 'ocd_riscv.cpu'...
Debug: 107 33 command.c:364 register_command_handler(): registering 'ocd_riscv.cpu'...
Debug: 108 33 command.c:364 register_command_handler(): registering 'ocd_riscv.cpu'...
Debug: 109 33 command.c:364 register_command_handler(): registering 'ocd_riscv.cpu'...
Debug: 110 33 command.c:364 register_command_handler(): registering 'ocd_riscv.cpu'...
Debug: 111 33 command.c:364 register_command_handler(): registering 'ocd_riscv.cpu'...
Debug: 112 33 command.c:364 register_command_handler(): registering 'ocd_riscv.cpu'...
Debug: 113 33 command.c:364 register_command_handler(): registering 'ocd_riscv.cpu'...
Debug: 114 33 command.c:364 register_command_handler(): registering 'ocd_riscv.cpu'...
Debug: 115 33 command.c:364 register_command_handler(): registering 'ocd_riscv.cpu'...
Debug: 116 33 command.c:364 register_command_handler(): registering 'ocd_riscv.cpu'...
Debug: 117 33 command.c:364 register_command_handler(): registering 'ocd_riscv.cpu'...
Debug: 118 33 command.c:364 register_command_handler(): registering 'ocd_riscv.cpu'...
Debug: 119 33 command.c:364 register_command_handler(): registering 'ocd_riscv.cpu'...
Debug: 120 33 command.c:364 register_command_handler(): registering 'ocd_riscv.cpu'...
Debug: 121 33 command.c:364 register_command_handler(): registering 'ocd_riscv.cpu'...
Debug: 122 33 command.c:364 register_command_handler(): registering 'ocd_riscv.cpu'...
Debug: 123 33 command.c:364 register_command_handler(): registering 'ocd_riscv.cpu'...
Debug: 124 33 command.c:364 register_command_handler(): registering 'ocd_riscv.cpu'...
Debug: 125 33 command.c:364 register_command_handler(): registering 'ocd_riscv.cpu'...
Debug: 126 33 command.c:364 register_command_handler(): registering 'ocd_riscv.cpu'...
Debug: 127 33 command.c:364 register_command_handler(): registering 'ocd_riscv.cpu'...
Debug: 128 33 command.c:364 register_command_handler(): registering 'ocd_riscv.cpu'...
Debug: 129 33 command.c:364 register_command_handler(): registering 'ocd_riscv.cpu'...
Debug: 130 33 command.c:364 register_command_handler(): registering 'ocd_riscv.cpu'...
Debug: 131 33 command.c:364 register_command_handler(): registering 'ocd_riscv.cpu'...
Debug: 132 33 command.c:364 register_command_handler(): registering 'ocd_riscv.cpu'...
Debug: 133 33 command.c:364 register_command_handler(): registering 'ocd_riscv.cpu'...
Debug: 134 33 command.c:364 register_command_handler(): registering 'ocd_riscv.cpu'...
Debug: 135 33 command.c:143 script_debug(): command - ocd_command ocd_command type ocd_riscv set_reset_timeout_sec 2000
Debug: 136 33 command.c:143 script_debug(): command - ocd_riscv ocd_riscv set_reset_timeout_sec 2000
Debug: 138 33 command.c:143 script_debug(): command - ocd_command ocd_command type ocd_riscv set_command_timeout_sec 2000
Debug: 139 33 command.c:143 script_debug(): command - ocd_riscv ocd_riscv set_command_timeout_sec 2000
Debug: 141 33 command.c:143 script_debug(): command - ocd_command ocd_command type ocd_riscv set_prefer_sba on
Debug: 142 33 command.c:143 script_debug(): command - ocd_riscv ocd_riscv set_prefer_sba on
Debug: 144 33 command.c:143 script_debug(): command - ocd_command ocd_command type ocd_scan_chain
Debug: 145 33 command.c:143 script_debug(): command - scan_chain ocd_scan_chain
User : 147 33 command.c:544 command_print():    TapName             Enabled  IdCode     Expected   IrLen IrCap IrMask
User : 148 33 command.c:544 command_print(): -- ------------------- -------- ---------- ---------- ----- ----- ------
User : 149 33 command.c:544 command_print():  0 riscv.unknown0         Y     0x00000000 0x10102001     5 0x01  0x03
User : 150 33 command.c:544 command_print():  1 riscv.cpu              Y     0x00000000 0x249511c3     5 0x01  0x03
Debug: 151 33 command.c:143 script_debug(): command - ocd_command ocd_command type ocd_init
Debug: 152 33 command.c:143 script_debug(): command - init ocd_init
Debug: 154 33 command.c:143 script_debug(): command - ocd_command ocd_command type ocd_target init
Debug: 155 33 command.c:143 script_debug(): command - ocd_target ocd_target init
Debug: 157 33 command.c:143 script_debug(): command - ocd_command ocd_command type ocd_target names
Debug: 158 33 command.c:143 script_debug(): command - ocd_target ocd_target names
Debug: 159 33 command.c:143 script_debug(): command - ocd_command ocd_command type ocd_riscv.cpu cget -event gdb-flash-erase-start
Debug: 160 34 command.c:143 script_debug(): command - ocd_riscv.cpu ocd_riscv.cpu cget -event gdb-flash-erase-start
Debug: 161 34 command.c:143 script_debug(): command - ocd_command ocd_command type ocd_riscv.cpu configure -event gdb-flash-erase-start reset init
Debug: 162 34 command.c:143 script_debug(): command - ocd_riscv.cpu ocd_riscv.cpu configure -event gdb-flash-erase-start reset init
Debug: 163 34 command.c:143 script_debug(): command - ocd_command ocd_command type ocd_riscv.cpu cget -event gdb-flash-write-end
Debug: 164 34 command.c:143 script_debug(): command - ocd_riscv.cpu ocd_riscv.cpu cget -event gdb-flash-write-end
Debug: 165 34 command.c:143 script_debug(): command - ocd_command ocd_command type ocd_riscv.cpu configure -event gdb-flash-write-end reset halt
Debug: 166 34 command.c:143 script_debug(): command - ocd_riscv.cpu ocd_riscv.cpu configure -event gdb-flash-write-end reset halt
Debug: 167 34 command.c:143 script_debug(): command - ocd_command ocd_command type ocd_riscv.cpu cget -event gdb-attach
Debug: 168 34 command.c:143 script_debug(): command - ocd_riscv.cpu ocd_riscv.cpu cget -event gdb-attach
Debug: 169 34 command.c:143 script_debug(): command - ocd_command ocd_command type ocd_riscv.cpu configure -event gdb-attach halt
Debug: 170 34 command.c:143 script_debug(): command - ocd_riscv.cpu ocd_riscv.cpu configure -event gdb-attach halt
Debug: 171 34 target.c:1404 handle_target_init_command(): Initializing targets...
Debug: 172 34 riscv.c:255 riscv_init_target(): riscv_init_target()
Debug: 173 34 semihosting_common.c:97 semihosting_common_init():  
Debug: 174 34 command.c:364 register_command_handler(): registering 'ocd_target_request'...
Debug: 175 34 command.c:364 register_command_handler(): registering 'ocd_trace'...
Debug: 176 34 command.c:364 register_command_handler(): registering 'ocd_trace'...
Debug: 177 34 command.c:364 register_command_handler(): registering 'ocd_fast_load_image'...
Debug: 178 34 command.c:364 register_command_handler(): registering 'ocd_fast_load'...
Debug: 179 34 command.c:364 register_command_handler(): registering 'ocd_profile'...
Debug: 180 34 command.c:364 register_command_handler(): registering 'ocd_virt2phys'...
Debug: 181 34 command.c:364 register_command_handler(): registering 'ocd_reg'...
Debug: 182 34 command.c:364 register_command_handler(): registering 'ocd_poll'...
Debug: 183 34 command.c:364 register_command_handler(): registering 'ocd_wait_halt'...
Debug: 184 34 command.c:364 register_command_handler(): registering 'ocd_halt'...
Debug: 185 34 command.c:364 register_command_handler(): registering 'ocd_resume'...
Debug: 186 34 command.c:364 register_command_handler(): registering 'ocd_reset'...
Debug: 187 34 command.c:364 register_command_handler(): registering 'ocd_soft_reset_halt'...
Debug: 188 34 command.c:364 register_command_handler(): registering 'ocd_step'...
Debug: 189 34 command.c:364 register_command_handler(): registering 'ocd_mdd'...
Debug: 190 34 command.c:364 register_command_handler(): registering 'ocd_mdw'...
Debug: 191 34 command.c:364 register_command_handler(): registering 'ocd_mdh'...
Debug: 192 34 command.c:364 register_command_handler(): registering 'ocd_mdb'...
Debug: 193 34 command.c:364 register_command_handler(): registering 'ocd_mwd'...
Debug: 194 34 command.c:364 register_command_handler(): registering 'ocd_mww'...
Debug: 195 34 command.c:364 register_command_handler(): registering 'ocd_mwh'...
Debug: 196 34 command.c:364 register_command_handler(): registering 'ocd_mwb'...
Debug: 197 34 command.c:364 register_command_handler(): registering 'ocd_bp'...
Debug: 198 34 command.c:364 register_command_handler(): registering 'ocd_rbp'...
Debug: 199 34 command.c:364 register_command_handler(): registering 'ocd_wp'...
Debug: 200 34 command.c:364 register_command_handler(): registering 'ocd_rwp'...
Debug: 201 34 command.c:364 register_command_handler(): registering 'ocd_load_image'...
Debug: 202 34 command.c:364 register_command_handler(): registering 'ocd_dump_image'...
Debug: 203 34 command.c:364 register_command_handler(): registering 'ocd_verify_image_checksum'...
Debug: 204 34 command.c:364 register_command_handler(): registering 'ocd_verify_image'...
Debug: 205 34 command.c:364 register_command_handler(): registering 'ocd_test_image'...
Debug: 206 34 command.c:364 register_command_handler(): registering 'ocd_reset_nag'...
Debug: 207 34 command.c:364 register_command_handler(): registering 'ocd_ps'...
Debug: 208 34 command.c:364 register_command_handler(): registering 'ocd_test_mem_access'...
Info : 209 34 remote_bitbang.c:283 remote_bitbang_init(): Initializing remote_bitbang driver
Info : 210 34 remote_bitbang.c:214 remote_bitbang_init_tcp(): Connecting to localhost:46398
Info : 211 34 remote_bitbang.c:299 remote_bitbang_init(): remote_bitbang driver initialized
Info : 212 34 core.c:1365 adapter_init(): This adapter doesn't support configurable speed
Debug: 213 35 openocd.c:142 handle_init_command(): Debug Adapter init complete
Debug: 214 35 command.c:143 script_debug(): command - ocd_command ocd_command type ocd_transport init
Debug: 215 35 command.c:143 script_debug(): command - ocd_transport ocd_transport init
Debug: 217 35 transport.c:239 handle_transport_init(): handle_transport_init
Debug: 218 35 core.c:729 jtag_add_reset(): SRST line released
Debug: 219 35 core.c:753 jtag_add_reset(): TRST line released
Debug: 220 35 core.c:327 jtag_call_event_callbacks(): jtag event: TAP reset
Debug: 221 35 command.c:143 script_debug(): command - ocd_command ocd_command type ocd_jtag arp_init
Debug: 222 35 command.c:143 script_debug(): command - ocd_jtag ocd_jtag arp_init
Debug: 223 35 core.c:1407 jtag_init_inner(): Init JTAG chain
Debug: 224 35 core.c:327 jtag_call_event_callbacks(): jtag event: TAP reset
Debug: 225 35 core.c:1060 jtag_examine_chain(): DR scan interrogation for IDCODE/BYPASS
Debug: 226 35 core.c:327 jtag_call_event_callbacks(): jtag event: TAP reset
Debug: 227 244463 jep106.c:33 jep106_manufacturer(): BUG: Caller passed out-of-range JEP106 ID!
Info : 228 244463 core.c:959 jtag_examine_chain_display(): JTAG tap: riscv.unknown0 tap/device found: 0x10102001 (mfg: 0x000 (<invalid>), part: 0x0102, ver: 0x1)
Info : 229 244463 core.c:959 jtag_examine_chain_display(): JTAG tap: riscv.cpu tap/device found: 0x249511c3 (mfg: 0x0e1 (Wintec Industries), part: 0x4951, ver: 0x2)
Debug: 230 244463 core.c:1190 jtag_validate_ircapture(): IR capture validation scan
Debug: 231 252907 core.c:1248 jtag_validate_ircapture(): riscv.unknown0: IR capture 0x05
Debug: 232 252907 core.c:1248 jtag_validate_ircapture(): riscv.cpu: IR capture 0x05
Debug: 233 252907 command.c:143 script_debug(): command - ocd_command ocd_command type ocd_dap init
Debug: 234 252907 command.c:143 script_debug(): command - ocd_dap ocd_dap init
Debug: 235 252907 log.c:409 keep_alive(): keep_alive() was not invoked in the 1000ms timelimit (252907). This may cause trouble with GDB connections.
Debug: 238 252907 arm_dap.c:105 dap_init_all(): Initializing all DAPs ...
Debug: 239 252907 openocd.c:159 handle_init_command(): Examining targets...
Debug: 240 252907 target.c:1591 target_call_event_callbacks(): target event 17 (examine-start) for core 992
Debug: 241 252907 riscv.c:785 riscv_examine(): riscv_examine()
Debug: 242 270447 riscv.c:227 dtmcontrol_scan(): DTMCONTROL: 0x0 -> 0x1071
Debug: 243 270447 riscv.c:795 riscv_examine(): dtmcontrol=0x1071
Debug: 244 270447 riscv.c:797 riscv_examine():   version=0x1
Debug: 245 270455 riscv-013.c:1622 init_target(): init
Debug: 246 291929 riscv-013.c:428 dtmcontrol_scan(): DTMCS: 0x0 -> 0x1071
Debug: 247 291929 riscv-013.c:1383 examine(): dtmcontrol=0x1071
Debug: 248 291929 riscv-013.c:1384 examine():   dmireset=0
Debug: 249 291929 riscv-013.c:1385 examine():   idle=1
Debug: 250 291929 riscv-013.c:1386 examine():   dmistat=0
Debug: 251 291929 riscv-013.c:1387 examine():   abits=7
Debug: 252 291929 riscv-013.c:1388 examine():   version=1
Debug: 253 316753 riscv-013.c:380 scan(): 41b 0i w 00000000 @10 -> + 00000000 @00
Debug: 254 333373 riscv-013.c:380 scan(): 41b 0i - 00000000 @10 -> + 00000000 @10
Debug: 255 354182 riscv-013.c:380 scan(): 41b 0i w 00000001 @10 -> + 00000000 @10
Debug: 256 354182 riscv-013.c:391 scan():  dmactive -> 
Debug: 257 370863 riscv-013.c:380 scan(): 41b 0i - 00000000 @10 -> + 00000001 @10
Debug: 258 370863 riscv-013.c:391 scan():  ->  dmactive
Debug: 259 391560 riscv-013.c:380 scan(): 41b 0i w 03ffffc1 @10 -> + 00000000 @10
Debug: 260 391560 riscv-013.c:391 scan():  hartselhi=1023 hartsello=1023 dmactive -> 
Debug: 261 408264 riscv-013.c:380 scan(): 41b 0i - 00000000 @10 -> + 03ffffc1 @10
Debug: 262 408264 riscv-013.c:391 scan():  ->  hartselhi=1023 hartsello=1023 dmactive
Debug: 263 428991 riscv-013.c:380 scan(): 41b 0i r 00000000 @10 -> + 00000000 @10
Debug: 264 445689 riscv-013.c:380 scan(): 41b 0i - 00000000 @10 -> b 00000000 @10
Debug: 265 445689 riscv-013.c:439 increase_dmi_busy_delay(): dtmcs_idle=1, dmi_busy_delay=1, ac_busy_delay=0
Debug: 266 463218 riscv-013.c:428 dtmcontrol_scan(): DTMCS: 0x10000 -> 0x1c71
Debug: 267 484889 riscv-013.c:380 scan(): 41b 1i - 00000000 @10 -> + 03ffffc1 @10
Debug: 268 484889 riscv-013.c:391 scan():  ->  hartselhi=1023 hartsello=1023 dmactive
Debug: 269 505942 riscv-013.c:380 scan(): 41b 1i r 00000000 @11 -> + 00000000 @10
Debug: 270 523073 riscv-013.c:380 scan(): 41b 1i - 00000000 @11 -> b 00000000 @11
Debug: 271 523073 riscv-013.c:439 increase_dmi_busy_delay(): dtmcs_idle=1, dmi_busy_delay=2, ac_busy_delay=0
Debug: 272 540883 riscv-013.c:428 dtmcontrol_scan(): DTMCS: 0x10000 -> 0x1071
Debug: 273 561636 riscv-013.c:380 scan(): 41b 2i - 00000000 @11 -> + 0000f082 @11
Debug: 274 561636 riscv-013.c:391 scan():  ->  allnonexistent anynonexistent allunavail anyunavail authenticated version=2
Debug: 275 561636 riscv-013.c:1426 examine(): dmstatus:  0x0000f082
Debug: 276 561636 riscv-013.c:1442 examine(): hartsellen=20
Debug: 277 583013 riscv-013.c:380 scan(): 41b 2i r 00000000 @12 -> + 00000000 @11
Debug: 278 600227 riscv-013.c:380 scan(): 41b 2i - 00000000 @12 -> b 00000000 @12
Debug: 279 600227 riscv-013.c:439 increase_dmi_busy_delay(): dtmcs_idle=1, dmi_busy_delay=3, ac_busy_delay=0
Debug: 280 618424 riscv-013.c:428 dtmcontrol_scan(): DTMCS: 0x10000 -> 0x1071
Debug: 281 639159 riscv-013.c:380 scan(): 41b 3i - 00000000 @12 -> + 00000000 @12
Debug: 282 660743 riscv-013.c:380 scan(): 41b 3i r 00000000 @38 -> + 00000000 @12
Debug: 283 678257 riscv-013.c:380 scan(): 41b 3i - 00000000 @38 -> + 20040404 @38
Debug: 284 678257 riscv-013.c:391 scan():  ->  sbaccess=2 sbasize=32 sbaccess32
Debug: 285 699833 riscv-013.c:380 scan(): 41b 3i r 00000000 @16 -> + 00000000 @38
Debug: 286 717361 riscv-013.c:380 scan(): 41b 3i - 00000000 @16 -> + 08000002 @16
Debug: 287 717361 riscv-013.c:391 scan():  ->  progbufsize=8 datacount=2
Info : 288 717361 riscv-013.c:1473 examine(): datacount=2 progbufsize=8
Debug: 289 717361 riscv-013.c:1544 examine(): Enumerated 0 harts
Error: 290 717361 riscv-013.c:1547 examine(): No harts found!
Debug: 291 717361 openocd.c:161 handle_init_command(): target examination failed
Debug: 292 717361 command.c:143 script_debug(): command - ocd_command ocd_command type ocd_flash init
Debug: 293 717361 command.c:143 script_debug(): command - ocd_flash ocd_flash init
Debug: 294 717361 log.c:409 keep_alive(): keep_alive() was not invoked in the 1000ms timelimit (464454). This may cause trouble with GDB connections.
Debug: 297 717361 tcl.c:1225 handle_flash_init_command(): Initializing flash devices...
Debug: 298 717361 command.c:143 script_debug(): command - ocd_command ocd_command type ocd_mflash init
Debug: 299 717361 command.c:143 script_debug(): command - ocd_mflash ocd_mflash init
Debug: 301 717361 mflash.c:1377 handle_mflash_init_command(): Initializing mflash devices...
Debug: 302 717361 command.c:143 script_debug(): command - ocd_command ocd_command type ocd_nand init
Debug: 303 717361 command.c:143 script_debug(): command - ocd_nand ocd_nand init
Debug: 305 717361 tcl.c:497 handle_nand_init_command(): Initializing NAND devices...
Debug: 306 717361 command.c:143 script_debug(): command - ocd_command ocd_command type ocd_pld init
Debug: 307 717361 command.c:143 script_debug(): command - ocd_pld ocd_pld init
Debug: 309 717361 pld.c:205 handle_pld_init_command(): Initializing PLDs...
Debug: 310 717361 gdb_server.c:3412 gdb_target_start(): starting gdb server for riscv.cpu on 3333
Info : 311 717361 server.c:311 add_service(): Listening on port 3333 for gdb connections
Debug: 312 717361 command.c:143 script_debug(): command - ocd_command ocd_command type ocd_halt
Debug: 313 717361 command.c:143 script_debug(): command - halt ocd_halt
Debug: 315 717361 target.c:3041 handle_halt_command(): -
Error: 316 717361 target.c:572 target_halt(): Target not examined yet
Debug: 317 717361 command.c:651 run_command(): Command 'halt' failed with error code -4
User : 318 717361 command.c:715 command_run_line(): 
Debug: 319 717361 riscv.c:276 riscv_deinit_target(): riscv_deinit_target()
Debug: 320 717361 riscv-013.c:1359 deinit_target(): riscv_deinit_target()
Debug: 321 717361 target.c:1941 target_free_all_working_areas_restore(): freeing all working areas
Info : 322 717361 remote_bitbang.c:120 remote_bitbang_quit(): remote_bitbang interface quit
chenx@wangzhongping-All-Series:~/build/pulpissimo/rtl/tb$ 

It seems that the RTL platform does not support the target_halt()? How to resolve it ?

Pulpissimo `make clean build` fails with QuestaSim

Hi all,

I built the toolchain using
./configure --prefix=/scratch.local/co96niro/pulpissimo/prefix --with-arch=rv32imc --with-cmodel=medlow --enable-multilib

So, when I now try to build the Pulpissimo repo with make clean build as stated in the read me, I get the following error:

[...]
QuestaSim-64 vmap 10.6_1 Lib Mapping Utility 2017.01 Jan 30 2017
vmap vip_lib /storage/userA/pulpissimo/pulpissimo/install/modelsim_libs/vip_lib
Modifying modelsim.ini
QuestaSim-64 vmap 10.6_1 Lib Mapping Utility 2017.01 Jan 30 2017
vmap pulpissimo_lib /storage/userA/pulpissimo/pulpissimo/install/modelsim_libs/pulpissimo_lib
Modifying modelsim.ini
  Building L2_tcdm_hybrid_interco/soc_interconnect
  Building adv_dbg_if/adv_dbg_if
  Building apb2per/apb2per
  Building apb_adv_timer/apb_adv_timer
  Building apb_fll_if/apb_fll_if
  Building apb_gpio/apb_gpio
  Building apb_node/apb_node
  Building apb_interrupt_cntrl/apb_interrupt_cntrl
  Building axi_node/axi_node
  Building axi_slice/axi_slice
  Building axi_slice_dc/axi_slice_dc
  Building axi_mem_if/axi_mem_if
  Building timer_unit/timer_unit
  Building common_cells/common_cells_all
** Error: ../ips/common_cells/src/id_queue.sv(268): Questa has encountered an unexpected internal error: ../../src/vlog/vgentd.c(578). Please contact Questa support at http://supportnet.mentor.com/
** Error: ../ips/common_cells/src/id_queue.sv(268): Questa has encountered an unexpected internal error: ../../src/vlog/vgentd.c(580). Please contact Questa support at http://supportnet.mentor.com/
** Error: ../ips/common_cells/src/id_queue.sv(268): Questa has encountered an unexpected internal error: ../../src/vlog/vgentd.c(580). Please contact Questa support at http://supportnet.mentor.com/
** Error: ../ips/common_cells/src/id_queue.sv(268): Questa has encountered an unexpected internal error: ../../src/vlog/vgentd.c(580). Please contact Questa support at http://supportnet.mentor.com/
** Error: ../ips/common_cells/src/id_queue.sv(268): Questa has encountered an unexpected internal error: ../../src/vlog/vgentd.c(580). Please contact Questa support at http://supportnet.mentor.com/
make[3]: *** [/storage/userA/pulpissimo/pulpissimo/install/modelsim_libs/common_cells_lib/common_cells_all.vmake] Error 2
make[2]: *** [build] Error 2
make[1]: *** [build] Error 2
make[1]: Leaving directory `/storage/userA/pulpissimo/pulpissimo/sim'
make: *** [build] Error 2

My vsim Version is "Questa Sim-64 vsim 10.6_1 Simulator"

What am I doing wrong? Or might it be the Questa Sim version?

Actually, when I try to comment out the id_queue module contents, the build finishes. However, my simulation of the Hello program yields

# [STDOUT-CL31_PE0] Hello !
# [TB] 11521501ns - Received status core: 0x00000000
# ** Note: $stop    : /storage/userA/pulpissimo/pulpissimo/sim/../rtl/tb/tb_pulp.sv(782)
#    Time: 11521501 ns  Iteration: 0  Instance: :tb_pulp
# Break at /storage/userA/pulpissimo/pulpissimo/sim/../rtl/tb/tb_pulp.sv line 782
# Stopped at /storage/userA/pulpissimo/pulpissimo/sim/../rtl/tb/tb_pulp.sv line 782
# ** Error: (vish-4014) No objects found matching 'sim:/tb_pulp/exit_status'.

Thanks in advance.

divergence float FPU

I run this short C code with RISCY, RISCY+FPU and GCC :
image
Result with GCC and RISCY (No FPU) :
image
Result with RISCY+FPU :
image

Can you explain to me this error with the FPU ?

Testbench in 32 bit cannot run on questasim 64 bit, issues with 64 bit toolchain build

I followed the readme file of pulpissimo and compiled the toolchain with the following command:
./configure --prefix=/opt/riscv --with-arch=rv32imc --with-cmodel=medlow --enable-multilib
make
When I run the tests (helloworld) I get the following errorLaunching simulator with command:

export VSIM_RUNNER_FLAGS="-gLOAD_L2=JTAG -permit_unmatched_virtual_intf -gBAUDRATE=115200" && vsim -64 -c -do 'source /volume1/users/vjain/pulpissimo/sim/tcl_files/config/run_and_exit.tcl' -do 'source /volume1/users/vjain/pulpissimo/sim/tcl_files/disable_tcheck_fll.do' -do 'source /volume1/users/vjain/pulpissimo/sim/tcl_files/run.tcl; set_tcheck; run_and_exit;'
Reading pref.tcl

# 10.7c

# source /volume1/users/vjain/pulpissimo/sim/tcl_files/config/run_and_exit.tcl
# source /volume1/users/vjain/pulpissimo/sim/tcl_files/disable_tcheck_fll.do
# couldn't read file "/volume1/users/vjain/pulpissimo/sim/tcl_files/disable_tcheck_fll.do": no such file or directory
# source /volume1/users/vjain/pulpissimo/sim/tcl_files/run.tcl
# vsim -c -quiet vopt_tb -L models_lib -L vip_lib -t ps "+nowarnTRAN" "+nowarnTSCALE" "+nowarnTFMPC" "+TB_PATH=/volume1/users/vjain/pulpissimo/sim" "+UVM_NO_RELNOTES" -permit_unmatched_virtual_intf "+VSIM_PATH=/volume1/users/vjain/pulpissimo/sim" -gUSE_SDVT_SPI=0 -gUSE_SDVT_CPI=0 -gBAUDRATE=115200 -gENABLE_DEV_DPI=0 -gLOAD_L2=JTAG -gUSE_SDVT_I2S=0 
# Start time: 12:16:11 on Jul 19,2019
# ** Error: (vsim-3816) Optimized design "vopt_tb" cannot be used by this version of the simulator - it was only compiled for a 32-bit version of vsim
# Error loading design
Error loading design
# End time: 12:16:11 on Jul 19,2019, Elapsed time: 0:00:00
# Errors: 1, Warnings: 0
Simulator reported an error, leaving
make: *** [run] Error 1

To solve this issue, I tried building the linux toolchain in 64 bit also using

./configure --prefix=/opt/riscv --enable-multilib
make linux

But, I get the following errors

/tmp/ccQYOGlb.s: Assembler messages:
/tmp/ccQYOGlb.s:4963: Error: unrecognized opcode `lwu a1,.LANCHOR0+2'
/tmp/ccQYOGlb.s:5179: Error: unrecognized opcode `lwu a5,.LANCHOR0'
/tmp/ccQYOGlb.s:5257: Error: unrecognized opcode `lwu a4,.LANCHOR0+2'
/tmp/ccQYOGlb.s:5904: Error: unrecognized opcode `lwu a2,.LANCHOR0+2'
/tmp/ccQYOGlb.s:5996: Error: unrecognized opcode `lwu a3,.LANCHOR0+2'
make[4]: *** [unwind-dw2.o] Error 1
make[4]: Leaving directory `/volume1/users/vjain/pulp-riscv-gnu-toolchain/build-gcc-linux-stage1/riscv64-unknown-linux-gnu/lib32/ilp32/libgcc'
make[3]: *** [multi-do] Error 1
make[3]: Leaving directory `/volume1/users/vjain/pulp-riscv-gnu-toolchain/build-gcc-linux-stage1/riscv64-unknown-linux-gnu/libgcc'
make[2]: *** [all-multi] Error 2
make[2]: Leaving directory `/volume1/users/vjain/pulp-riscv-gnu-toolchain/build-gcc-linux-stage1/riscv64-unknown-linux-gnu/libgcc'
make[1]: *** [all-target-libgcc] Error 2
make[1]: Leaving directory `/volume1/users/vjain/pulp-riscv-gnu-toolchain/build-gcc-linux-stage1'
make: *** [stamps/build-gcc-linux-stage1] Error 2

Consider using commit hashes and tags instead of branch labels for stable version.

As is mentioned in the README, the master branch of IP's is not necessarily stable. So I think that ips_list.yml of this top level project should not reference the master branch of pulp_soc but a tag/commit. References to branches should be only used in development, which could be mentioned in README. Forcing a change in the ips_list.yml if someone wants to update their IP to a new version makes it apparent which change introduced a regression.
Unfortunately It looks like only commit hashes are truly immutable. I observed changes before that just moved the tags/pulpissimo-v1.0 to another commit which I would consider bad practice.

Coremark results

I have the following results for coremark on all the core. Why I haven't the same results as you ?

image

Thank you in advance.

DPI support in CDN IUS

@haugoug

I'm going to attempt to get the top level harness included to run in the latest version of Cadence Incisive.
Are there any particular DPI specific issues related to the supported Questasim setup that I need to take care of in the CDN tool chain (for example Questastim specific support code that is relied upon).
I have some previous experience with both DPI and VPI from within the CDN tool chain so should make some rapid progress.....

error while trying to run the hello example

hi all
I am having some trouble while I try to make the hello example work
I did everything by the order, and when I go to the hello directory and enter:
make clean all run
this is what I get:
plpflags gen --output-dir=/home/rotemshahar/pulpissimo/sim/pulp-rt-examples/hello/build/.home.rotemshahar.pulp-sdk --makefile=/home/rotemshahar/pulpissimo/sim/pulp-rt-examples/hello/build/.home.rotemshahar.pulp-sdk/config.mk --app=test --out-config=/home/rotemshahar/pulpissimo/sim/pulp-rt-examples/hello/build/.home.rotemshahar.pulp-sdk/config.json
make: plpflags: Command not found
make: *** No rule to make target 'clean'. Stop.

please help me to figure out what has gone wrond
thanks

Why the new tool Chain?

Hi,
I would like to ask, why you have created the new "pulp-riscv-gnu-toolchain" for pulpissimo instead of continue using the "ri5cy_gnu_toolchain" that supports pulpino?
Many Thanks

How to porting the freertos to pulpissimo from pulpinfo platform?

We know the pulpinfo platform support freertos and I tried it. Now I want to port the freertos to Pulpissimo. Because the freertos depends on string_lib and sys_lib, I copied the sys_lib and string_lib directories into pulp-rt-examples and modified the Makefile as below to build the sys_lib on pulpissimo, but failed! How to write the Makefile to build the static library in pulpissimo?

PULP_LIBS = sys_lib
PULP_APP_SRCS = src/exceptions.c src/gpio.c src/int.c src/spi.c src/timer.c src/uart.c src/utils.c src/i2c.c

PULP_CFLAGS += -I$(CURDIR)/inc -I$(CURDIR)/../string_lib/inc 
#PULP_CFLAGS += -O3 -falign-functions=16 -funroll-all-loops
PULP_CFLAGS += -Os -g -fno-jump-tables -Werror

INSTALL_FILES += $(shell find inc -name *.h)

include $(PULP_SDK_HOME)/install/rules/pulp_rt.mk


error log:

make all
/opt/riscv/bin/riscv32-unknown-elf-gcc  -march=rv32imcxpulpv2 -D__riscv__ -I/home/chenx/build/pulpissimo/examples/pulp-rt-examples/libs/sys_lib/inc -I/home/chenx/build/pulpissimo/examples/pulp-rt-examples/libs/sys_lib/../string_lib/inc  -Os -g -fno-jump-tables -Werror  -Wextra -Wall -Wno-unused-parameter -Wno-unused-variable -Wno-unused-function -Wundef -fdata-sections -ffunction-sections -I/home/chenx/build/pulpissimo/pulp-sdk/pkg/sdk/dev/install/include/io -I/home/chenx/build/pulpissimo/pulp-sdk/pkg/sdk/dev/install/include -include /home/chenx/build/pulpissimo/examples/pulp-rt-examples/libs/sys_lib/build/pulpissimo-riscy/fc_config.h    -MMD -MP -c src/exceptions.c -o /home/chenx/build/pulpissimo/examples/pulp-rt-examples/libs/sys_lib/build/pulpissimo-riscy/sys_lib/fc/src/exceptions.o
/opt/riscv/bin/riscv32-unknown-elf-gcc  -march=rv32imcxpulpv2 -D__riscv__ -I/home/chenx/build/pulpissimo/examples/pulp-rt-examples/libs/sys_lib/inc -I/home/chenx/build/pulpissimo/examples/pulp-rt-examples/libs/sys_lib/../string_lib/inc  -Os -g -fno-jump-tables -Werror  -Wextra -Wall -Wno-unused-parameter -Wno-unused-variable -Wno-unused-function -Wundef -fdata-sections -ffunction-sections -I/home/chenx/build/pulpissimo/pulp-sdk/pkg/sdk/dev/install/include/io -I/home/chenx/build/pulpissimo/pulp-sdk/pkg/sdk/dev/install/include -include /home/chenx/build/pulpissimo/examples/pulp-rt-examples/libs/sys_lib/build/pulpissimo-riscy/fc_config.h    -MMD -MP -c src/gpio.c -o /home/chenx/build/pulpissimo/examples/pulp-rt-examples/libs/sys_lib/build/pulpissimo-riscy/sys_lib/fc/src/gpio.o
/opt/riscv/bin/riscv32-unknown-elf-gcc  -march=rv32imcxpulpv2 -D__riscv__ -I/home/chenx/build/pulpissimo/examples/pulp-rt-examples/libs/sys_lib/inc -I/home/chenx/build/pulpissimo/examples/pulp-rt-examples/libs/sys_lib/../string_lib/inc  -Os -g -fno-jump-tables -Werror  -Wextra -Wall -Wno-unused-parameter -Wno-unused-variable -Wno-unused-function -Wundef -fdata-sections -ffunction-sections -I/home/chenx/build/pulpissimo/pulp-sdk/pkg/sdk/dev/install/include/io -I/home/chenx/build/pulpissimo/pulp-sdk/pkg/sdk/dev/install/include -include /home/chenx/build/pulpissimo/examples/pulp-rt-examples/libs/sys_lib/build/pulpissimo-riscy/fc_config.h    -MMD -MP -c src/int.c -o /home/chenx/build/pulpissimo/examples/pulp-rt-examples/libs/sys_lib/build/pulpissimo-riscy/sys_lib/fc/src/int.o
/opt/riscv/bin/riscv32-unknown-elf-gcc  -march=rv32imcxpulpv2 -D__riscv__ -I/home/chenx/build/pulpissimo/examples/pulp-rt-examples/libs/sys_lib/inc -I/home/chenx/build/pulpissimo/examples/pulp-rt-examples/libs/sys_lib/../string_lib/inc  -Os -g -fno-jump-tables -Werror  -Wextra -Wall -Wno-unused-parameter -Wno-unused-variable -Wno-unused-function -Wundef -fdata-sections -ffunction-sections -I/home/chenx/build/pulpissimo/pulp-sdk/pkg/sdk/dev/install/include/io -I/home/chenx/build/pulpissimo/pulp-sdk/pkg/sdk/dev/install/include -include /home/chenx/build/pulpissimo/examples/pulp-rt-examples/libs/sys_lib/build/pulpissimo-riscy/fc_config.h    -MMD -MP -c src/spi.c -o /home/chenx/build/pulpissimo/examples/pulp-rt-examples/libs/sys_lib/build/pulpissimo-riscy/sys_lib/fc/src/spi.o
/opt/riscv/bin/riscv32-unknown-elf-gcc  -march=rv32imcxpulpv2 -D__riscv__ -I/home/chenx/build/pulpissimo/examples/pulp-rt-examples/libs/sys_lib/inc -I/home/chenx/build/pulpissimo/examples/pulp-rt-examples/libs/sys_lib/../string_lib/inc  -Os -g -fno-jump-tables -Werror  -Wextra -Wall -Wno-unused-parameter -Wno-unused-variable -Wno-unused-function -Wundef -fdata-sections -ffunction-sections -I/home/chenx/build/pulpissimo/pulp-sdk/pkg/sdk/dev/install/include/io -I/home/chenx/build/pulpissimo/pulp-sdk/pkg/sdk/dev/install/include -include /home/chenx/build/pulpissimo/examples/pulp-rt-examples/libs/sys_lib/build/pulpissimo-riscy/fc_config.h    -MMD -MP -c src/timer.c -o /home/chenx/build/pulpissimo/examples/pulp-rt-examples/libs/sys_lib/build/pulpissimo-riscy/sys_lib/fc/src/timer.o
/opt/riscv/bin/riscv32-unknown-elf-gcc  -march=rv32imcxpulpv2 -D__riscv__ -I/home/chenx/build/pulpissimo/examples/pulp-rt-examples/libs/sys_lib/inc -I/home/chenx/build/pulpissimo/examples/pulp-rt-examples/libs/sys_lib/../string_lib/inc  -Os -g -fno-jump-tables -Werror  -Wextra -Wall -Wno-unused-parameter -Wno-unused-variable -Wno-unused-function -Wundef -fdata-sections -ffunction-sections -I/home/chenx/build/pulpissimo/pulp-sdk/pkg/sdk/dev/install/include/io -I/home/chenx/build/pulpissimo/pulp-sdk/pkg/sdk/dev/install/include -include /home/chenx/build/pulpissimo/examples/pulp-rt-examples/libs/sys_lib/build/pulpissimo-riscy/fc_config.h    -MMD -MP -c src/uart.c -o /home/chenx/build/pulpissimo/examples/pulp-rt-examples/libs/sys_lib/build/pulpissimo-riscy/sys_lib/fc/src/uart.o
/opt/riscv/bin/riscv32-unknown-elf-gcc  -march=rv32imcxpulpv2 -D__riscv__ -I/home/chenx/build/pulpissimo/examples/pulp-rt-examples/libs/sys_lib/inc -I/home/chenx/build/pulpissimo/examples/pulp-rt-examples/libs/sys_lib/../string_lib/inc  -Os -g -fno-jump-tables -Werror  -Wextra -Wall -Wno-unused-parameter -Wno-unused-variable -Wno-unused-function -Wundef -fdata-sections -ffunction-sections -I/home/chenx/build/pulpissimo/pulp-sdk/pkg/sdk/dev/install/include/io -I/home/chenx/build/pulpissimo/pulp-sdk/pkg/sdk/dev/install/include -include /home/chenx/build/pulpissimo/examples/pulp-rt-examples/libs/sys_lib/build/pulpissimo-riscy/fc_config.h    -MMD -MP -c src/utils.c -o /home/chenx/build/pulpissimo/examples/pulp-rt-examples/libs/sys_lib/build/pulpissimo-riscy/sys_lib/fc/src/utils.o
/opt/riscv/bin/riscv32-unknown-elf-gcc  -march=rv32imcxpulpv2 -D__riscv__ -I/home/chenx/build/pulpissimo/examples/pulp-rt-examples/libs/sys_lib/inc -I/home/chenx/build/pulpissimo/examples/pulp-rt-examples/libs/sys_lib/../string_lib/inc  -Os -g -fno-jump-tables -Werror  -Wextra -Wall -Wno-unused-parameter -Wno-unused-variable -Wno-unused-function -Wundef -fdata-sections -ffunction-sections -I/home/chenx/build/pulpissimo/pulp-sdk/pkg/sdk/dev/install/include/io -I/home/chenx/build/pulpissimo/pulp-sdk/pkg/sdk/dev/install/include -include /home/chenx/build/pulpissimo/examples/pulp-rt-examples/libs/sys_lib/build/pulpissimo-riscy/fc_config.h    -MMD -MP -c src/i2c.c -o /home/chenx/build/pulpissimo/examples/pulp-rt-examples/libs/sys_lib/build/pulpissimo-riscy/sys_lib/fc/src/i2c.o
/opt/riscv/bin/riscv32-unknown-elf-gcc  -march=rv32imcxpulpv2 -D__riscv__ -I/home/chenx/build/pulpissimo/examples/pulp-rt-examples/libs/sys_lib/inc -I/home/chenx/build/pulpissimo/examples/pulp-rt-examples/libs/sys_lib/../string_lib/inc  -Os -g -fno-jump-tables -Werror  -Wextra -Wall -Wno-unused-parameter -Wno-unused-variable -Wno-unused-function -Wundef -fdata-sections -ffunction-sections -I/home/chenx/build/pulpissimo/pulp-sdk/pkg/sdk/dev/install/include/io -I/home/chenx/build/pulpissimo/pulp-sdk/pkg/sdk/dev/install/include -include /home/chenx/build/pulpissimo/examples/pulp-rt-examples/libs/sys_lib/build/pulpissimo-riscy/fc_config.h    -MMD -MP -c /home/chenx/build/pulpissimo/examples/pulp-rt-examples/libs/sys_lib/build/pulpissimo-riscy/rt_conf.c -o /home/chenx/build/pulpissimo/examples/pulp-rt-examples/libs/sys_lib/build/pulpissimo-riscy/sys_lib/fc//home/chenx/build/pulpissimo/examples/pulp-rt-examples/libs/sys_lib/build/pulpissimo-riscy/rt_conf.o
/opt/riscv/bin/riscv32-unknown-elf-gcc  -march=rv32imcxpulpv2 -D__riscv__ -I/home/chenx/build/pulpissimo/examples/pulp-rt-examples/libs/sys_lib/inc -I/home/chenx/build/pulpissimo/examples/pulp-rt-examples/libs/sys_lib/../string_lib/inc  -Os -g -fno-jump-tables -Werror  -Wextra -Wall -Wno-unused-parameter -Wno-unused-variable -Wno-unused-function -Wundef -fdata-sections -ffunction-sections -I/home/chenx/build/pulpissimo/pulp-sdk/pkg/sdk/dev/install/include/io -I/home/chenx/build/pulpissimo/pulp-sdk/pkg/sdk/dev/install/include -include /home/chenx/build/pulpissimo/examples/pulp-rt-examples/libs/sys_lib/build/pulpissimo-riscy/fc_config.h    -MMD -MP -c /home/chenx/build/pulpissimo/examples/pulp-rt-examples/libs/sys_lib/build/pulpissimo-riscy/rt_pad_conf.c -o /home/chenx/build/pulpissimo/examples/pulp-rt-examples/libs/sys_lib/build/pulpissimo-riscy/sys_lib/fc//home/chenx/build/pulpissimo/examples/pulp-rt-examples/libs/sys_lib/build/pulpissimo-riscy/rt_pad_conf.o
/opt/riscv/bin/riscv32-unknown-elf-ar -r /home/chenx/build/pulpissimo/examples/pulp-rt-examples/libs/sys_lib/build/pulpissimo-riscy/libsys_lib.a /home/chenx/build/pulpissimo/examples/pulp-rt-examples/libs/sys_lib/build/pulpissimo-riscy/sys_lib/fc/src/exceptions.o /home/chenx/build/pulpissimo/examples/pulp-rt-examples/libs/sys_lib/build/pulpissimo-riscy/sys_lib/fc/src/gpio.o /home/chenx/build/pulpissimo/examples/pulp-rt-examples/libs/sys_lib/build/pulpissimo-riscy/sys_lib/fc/src/int.o /home/chenx/build/pulpissimo/examples/pulp-rt-examples/libs/sys_lib/build/pulpissimo-riscy/sys_lib/fc/src/spi.o /home/chenx/build/pulpissimo/examples/pulp-rt-examples/libs/sys_lib/build/pulpissimo-riscy/sys_lib/fc/src/timer.o /home/chenx/build/pulpissimo/examples/pulp-rt-examples/libs/sys_lib/build/pulpissimo-riscy/sys_lib/fc/src/uart.o /home/chenx/build/pulpissimo/examples/pulp-rt-examples/libs/sys_lib/build/pulpissimo-riscy/sys_lib/fc/src/utils.o /home/chenx/build/pulpissimo/examples/pulp-rt-examples/libs/sys_lib/build/pulpissimo-riscy/sys_lib/fc/src/i2c.o /home/chenx/build/pulpissimo/examples/pulp-rt-examples/libs/sys_lib/build/pulpissimo-riscy/sys_lib/fc//home/chenx/build/pulpissimo/examples/pulp-rt-examples/libs/sys_lib/build/pulpissimo-riscy/rt_conf.o /home/chenx/build/pulpissimo/examples/pulp-rt-examples/libs/sys_lib/build/pulpissimo-riscy/sys_lib/fc//home/chenx/build/pulpissimo/examples/pulp-rt-examples/libs/sys_lib/build/pulpissimo-riscy/rt_pad_conf.o
/opt/riscv/bin/riscv32-unknown-elf-ar: creating /home/chenx/build/pulpissimo/examples/pulp-rt-examples/libs/sys_lib/build/pulpissimo-riscy/libsys_lib.a
pulp-run --dir=/home/chenx/build/pulpissimo/examples/pulp-rt-examples/libs/sys_lib/build/pulpissimo-riscy  prepare
Traceback (most recent call last):
  File "/home/chenx/build/pulpissimo/pulp-sdk/pkg/sdk/dev/install/ws/bin/pulp-run", line 48, in <module>
    retval = runner.run()
  File "/home/chenx/build/pulpissimo/pulp-sdk/pkg/sdk/dev/install/ws/python/plp_runner.py", line 185, in run
    self.system_tree = plptree.get_configs_from_file(self.config.getOption('configFile'))[0]
IndexError: list index out of range
/home/chenx/build/pulpissimo/examples/pulp-rt-examples/libs/sys_lib/build/pulpissimo-riscy/__rules.mk:107: recipe for target 'prepare' failed
make: *** [prepare] Error 1

RISCY+FPU Dhrystone

First of all, great work!

I run dhrystone on RISCY and RISCY+FPU . Normaly I should get the same result because DHRYSTONE does not use floating point.
But I get 1.10 for RISCY and 1.06 for RISCY+FPU.
With Coremark I have the exact same result, 3.13 for RISCY and RISCY+FPU.
Do you know why ?

Thanks in advance

simulation tool porting recommendations

Is there a recommended way to port the simulation to another tool? I have access to Cadence Incisive (maybe Xcelium) and Active-HDL (probably without support for SV classes).
Should I create a new make file (make.incisive?), how should I handle build file generators, ... what should generated file/folder names be?

Is the effort even worth it, would I hit too many roadblocks to bother? I know error/warning feedback is useful to the project, but I would like to get to a working simulation environment.

Generate VCD traces with GVSOC

Hello,
I'm trying to get VCD traces of a program running on GVSOC, but I failed so far.
I tried with make run CONFIG_OPT='gvsoc/vcd/active=True gvsoc/vcd/all=True gvsoc/vcd/gtkw=True', which creates all.vcd but gtkwave can't open it.

Simulation of hwme accelerator stuck

I tried to run the hwme accelerator example in pulp-rt-examples. But, the simulation seems to get stuck at some point. I have successfully ran the helloworld example before. I use Questasim 10.7c.

/volume1/users/vjain/pulp-rt-examples/accelerators/hwme $ make clean all run
rm -rf /volume1/users/vjain/pulp-rt-examples/accelerators/hwme/build/hwme.c/pulpissimo/hwme/hwme /volume1/users/vjain/pulp-rt-examples/accelerators/hwme/build/hwme.c/pulpissimo/hwme/fc/hwme.o /volume1/users/vjain/pulp-rt-examples/accelerators/hwme/build/hwme.c/pulpissimo/hwme/fc//volume1/users/vjain/pulp-rt-examples/accelerators/hwme/build/hwme.c/pulpissimo/rt_conf.o /volume1/users/vjain/pulp-rt-examples/accelerators/hwme/build/hwme.c/pulpissimo/hwme/fc//volume1/users/vjain/pulp-rt-examples/accelerators/hwme/build/hwme.c/pulpissimo/rt_pad_conf.o
/volume1/users/vjain/pulp-riscv-gnu-toolchain/output/riscv/bin/riscv32-unknown-elf-gcc -march=rv32imfcxpulpv2 -mfdiv -D__riscv__ -O2 -g -Wextra -Wall -Wno-unused-parameter -Wno-unused-variable -Wno-unused-function -Wundef -fdata-sections -ffunction-sections -I/volume1/users/vjain/pulp-builder/install/include/io -I/volume1/users/vjain/pulp-builder/install/include -include /volume1/users/vjain/pulp-rt-examples/accelerators/hwme/build/hwme.c/pulpissimo/fc_config.h -MMD -MP -c hwme.c -o /volume1/users/vjain/pulp-rt-examples/accelerators/hwme/build/hwme.c/pulpissimo/hwme/fc/hwme.o
hwme.c: In function 'main':
hwme.c:40:17: warning: initialization from incompatible pointer type [-Wincompatible-pointer-types]
uint32_t *a = (uint8_t *) 0x1c010000;
^
hwme.c:41:17: warning: initialization from incompatible pointer type [-Wincompatible-pointer-types]
uint32_t *b = (uint8_t *) 0x1c010200;
^
hwme.c:42:17: warning: initialization from incompatible pointer type [-Wincompatible-pointer-types]
uint32_t *c = (uint8_t *) 0x1c010400;
^
hwme.c:43:17: warning: initialization from incompatible pointer type [-Wincompatible-pointer-types]
uint32_t *d = (uint8_t *) 0x1c010600;
^
/volume1/users/vjain/pulp-riscv-gnu-toolchain/output/riscv/bin/riscv32-unknown-elf-gcc -march=rv32imfcxpulpv2 -mfdiv -D__riscv__ -O2 -g -Wextra -Wall -Wno-unused-parameter -Wno-unused-variable -Wno-unused-function -Wundef -fdata-sections -ffunction-sections -I/volume1/users/vjain/pulp-builder/install/include/io -I/volume1/users/vjain/pulp-builder/install/include -include /volume1/users/vjain/pulp-rt-examples/accelerators/hwme/build/hwme.c/pulpissimo/fc_config.h -MMD -MP -c /volume1/users/vjain/pulp-rt-examples/accelerators/hwme/build/hwme.c/pulpissimo/rt_conf.c -o /volume1/users/vjain/pulp-rt-examples/accelerators/hwme/build/hwme.c/pulpissimo/hwme/fc//volume1/users/vjain/pulp-rt-examples/accelerators/hwme/build/hwme.c/pulpissimo/rt_conf.o
/volume1/users/vjain/pulp-riscv-gnu-toolchain/output/riscv/bin/riscv32-unknown-elf-gcc -march=rv32imfcxpulpv2 -mfdiv -D__riscv__ -O2 -g -Wextra -Wall -Wno-unused-parameter -Wno-unused-variable -Wno-unused-function -Wundef -fdata-sections -ffunction-sections -I/volume1/users/vjain/pulp-builder/install/include/io -I/volume1/users/vjain/pulp-builder/install/include -include /volume1/users/vjain/pulp-rt-examples/accelerators/hwme/build/hwme.c/pulpissimo/fc_config.h -MMD -MP -c /volume1/users/vjain/pulp-rt-examples/accelerators/hwme/build/hwme.c/pulpissimo/rt_pad_conf.c -o /volume1/users/vjain/pulp-rt-examples/accelerators/hwme/build/hwme.c/pulpissimo/hwme/fc//volume1/users/vjain/pulp-rt-examples/accelerators/hwme/build/hwme.c/pulpissimo/rt_pad_conf.o
mkdir -p dirname /volume1/users/vjain/pulp-rt-examples/accelerators/hwme/build/hwme.c/pulpissimo/hwme/hwme
/volume1/users/vjain/pulp-riscv-gnu-toolchain/output/riscv/bin/riscv32-unknown-elf-gcc -march=rv32imfcxpulpv2 -mfdiv -D__riscv__ -MMD -MP -o /volume1/users/vjain/pulp-rt-examples/accelerators/hwme/build/hwme.c/pulpissimo/hwme/hwme /volume1/users/vjain/pulp-rt-examples/accelerators/hwme/build/hwme.c/pulpissimo/hwme/fc/hwme.o /volume1/users/vjain/pulp-rt-examples/accelerators/hwme/build/hwme.c/pulpissimo/hwme/fc//volume1/users/vjain/pulp-rt-examples/accelerators/hwme/build/hwme.c/pulpissimo/rt_conf.o /volume1/users/vjain/pulp-rt-examples/accelerators/hwme/build/hwme.c/pulpissimo/hwme/fc//volume1/users/vjain/pulp-rt-examples/accelerators/hwme/build/hwme.c/pulpissimo/rt_pad_conf.o -nostartfiles -nostdlib -Wl,--gc-sections -L/volume1/users/vjain/pulp-builder/install/rules -Tpulpissimo/link.ld -L/volume1/users/vjain/pulp-builder/install/lib/pulpissimo -lrt -lrtio -lrt -lgcc -lbench
pulp-run --config-file=pulpissimo@config_file=chips/pulpissimo/pulpissimo.json --config-opt=platform=rtl --config-opt=rt/start-all=true --config-opt=/rt/fc-start=true --config-opt=/rt/type=pulp-rt --config-opt=rt/start-all=true --config-opt=/rt/fc-start=true --config-opt=/rt/type=pulp-rt --dir=/volume1/users/vjain/pulp-rt-examples/accelerators/hwme/build/hwme.c/pulpissimo --binary=hwme/hwme prepare
pulp-run --config-file=pulpissimo@config_file=chips/pulpissimo/pulpissimo.json --config-opt=platform=rtl --config-opt=rt/start-all=true --config-opt=/rt/fc-start=true --config-opt=/rt/type=pulp-rt --config-opt=rt/start-all=true --config-opt=/rt/fc-start=true --config-opt=/rt/type=pulp-rt --dir=/volume1/users/vjain/pulp-rt-examples/accelerators/hwme/build/hwme.c/pulpissimo --binary=hwme/hwme
Launching simulator with command:
export VSIM_RUNNER_FLAGS="-gLOAD_L2=JTAG -permit_unmatched_virtual_intf -gBAUDRATE=115200" && vsim -64 -c -do 'source /volume1/users/vjain/pulpissimo/sim/tcl_files/config/run_and_exit.tcl' -do 'source /volume1/users/vjain/pulpissimo/sim/tcl_files/disable_tcheck_fll.do' -do 'source /volume1/users/vjain/pulpissimo/sim/tcl_files/run.tcl; set_tcheck; run_and_exit;'
Reading pref.tcl

10.7c

source /volume1/users/vjain/pulpissimo/sim/tcl_files/config/run_and_exit.tcl

source /volume1/users/vjain/pulpissimo/sim/tcl_files/disable_tcheck_fll.do

couldn't read file "/volume1/users/vjain/pulpissimo/sim/tcl_files/disable_tcheck_fll.do": no such file or directory

source /volume1/users/vjain/pulpissimo/sim/tcl_files/run.tcl

vsim -c -quiet vopt_tb -L models_lib -L vip_lib -t ps "+nowarnTRAN" "+nowarnTSCALE" "+nowarnTFMPC" "+TB_PATH=/volume1/users/vjain/pulpissimo/sim" "+UVM_NO_RELNOTES" -permit_unmatched_virtual_intf "+VSIM_PATH=/volume1/users/vjain/pulpissimo/sim" -gUSE_SDVT_SPI=0 -gUSE_SDVT_CPI=0 -gBAUDRATE=115200 -gENABLE_DEV_DPI=0 -gLOAD_L2=JTAG -gUSE_SDVT_I2S=0

Start time: 16:24:25 on Aug 07,2019

// Questa Sim-64

// Version 10.7c linux_x86_64 Aug 17 2018

//

// Copyright 1991-2018 Mentor Graphics Corporation

// All Rights Reserved.

//

// QuestaSim and its associated documentation contain trade

// secrets and commercial or financial information that are the property of

// Mentor Graphics Corporation and are privileged, confidential,

// and exempt from disclosure under the Freedom of Information Act,

// 5 U.S.C. Section 552. Furthermore, this information

// is prohibited from disclosure under the Trade Secrets Act,

// 18 U.S.C. Section 1905.

//

** Error: (vsim-191) Questa has encountered an unexpected internal error: ../../src/vsim/vsimfunc.c(1989).

Please contact Questa support at http://supportnet.mentor.com

** Error: (vsim-191) Questa has encountered an unexpected internal error: ../../src/vsim/vsimfunc.c(1989).

Please contact Questa support at http://supportnet.mentor.com

** Error: (vsim-191) Questa has encountered an unexpected internal error: ../../src/vsim/vsimfunc.c(1989).

Please contact Questa support at http://supportnet.mentor.com

** Error: (vsim-191) Questa has encountered an unexpected internal error: ../../src/vsim/vsimfunc.c(1989).

Please contact Questa support at http://supportnet.mentor.com

** Warning: (vsim-3015) [PCDPC] - Port size (32) does not match connection size (64) for port 'axi_slave_w_data'. The port definition is at: /volume1/users/vjain/pulpissimo/sim/../ips/axi/axi_slice_dc/axi_slice_dc_slave.sv(56).

Time: 0 ps Iteration: 0 Instance: /tb_pulp/i_dut/soc_domain_i/pulp_soc_i/dc_fifo_datain_bus_i/axi_slice_i File: /volume1/users/vjain/pulpissimo/sim/../ips/axi/axi_slice_dc/axi_slice_dc_slave_wrap.sv Line: 50

** Warning: (vsim-3015) [PCDPC] - Port size (4) does not match connection size (8) for port 'axi_slave_w_strb'. The port definition is at: /volume1/users/vjain/pulpissimo/sim/../ips/axi/axi_slice_dc/axi_slice_dc_slave.sv(57).

Time: 0 ps Iteration: 0 Instance: /tb_pulp/i_dut/soc_domain_i/pulp_soc_i/dc_fifo_datain_bus_i/axi_slice_i File: /volume1/users/vjain/pulpissimo/sim/../ips/axi/axi_slice_dc/axi_slice_dc_slave_wrap.sv Line: 50

** Warning: (vsim-3015) [PCDPC] - Port size (32) does not match connection size (64) for port 'axi_slave_r_data'. The port definition is at: /volume1/users/vjain/pulpissimo/sim/../ips/axi/axi_slice_dc/axi_slice_dc_slave.sv(64).

Time: 0 ps Iteration: 0 Instance: /tb_pulp/i_dut/soc_domain_i/pulp_soc_i/dc_fifo_datain_bus_i/axi_slice_i File: /volume1/users/vjain/pulpissimo/sim/../ips/axi/axi_slice_dc/axi_slice_dc_slave_wrap.sv Line: 50

** Warning: (vsim-3015) [PCDPC] - Port size (43) does not match connection size (32) for port 'gpio_in'. The port definition is at: /volume1/users/vjain/pulpissimo/sim/../ips/pulp_soc/rtl/pulp_soc/soc_peripherals.sv(75).

Time: 0 ps Iteration: 0 Instance: /tb_pulp/i_dut/soc_domain_i/pulp_soc_i/soc_peripherals_i File: /volume1/users/vjain/pulpissimo/sim/../ips/pulp_soc/rtl/pulp_soc/pulp_soc.sv Line: 528

** Warning: (vsim-3015) [PCDPC] - Port size (43) does not match connection size (32) for port 'gpio_out'. The port definition is at: /volume1/users/vjain/pulpissimo/sim/../ips/pulp_soc/rtl/pulp_soc/soc_peripherals.sv(76).

Time: 0 ps Iteration: 0 Instance: /tb_pulp/i_dut/soc_domain_i/pulp_soc_i/soc_peripherals_i File: /volume1/users/vjain/pulpissimo/sim/../ips/pulp_soc/rtl/pulp_soc/pulp_soc.sv Line: 528

** Warning: (vsim-3015) [PCDPC] - Port size (43) does not match connection size (32) for port 'gpio_dir'. The port definition is at: /volume1/users/vjain/pulpissimo/sim/../ips/pulp_soc/rtl/pulp_soc/soc_peripherals.sv(77).

Time: 0 ps Iteration: 0 Instance: /tb_pulp/i_dut/soc_domain_i/pulp_soc_i/soc_peripherals_i File: /volume1/users/vjain/pulpissimo/sim/../ips/pulp_soc/rtl/pulp_soc/pulp_soc.sv Line: 528

** Warning: (vsim-3015) [PCDPC] - Port size (172) does not match connection size (192) for port 'gpio_padcfg'. The port definition is at: /volume1/users/vjain/pulpissimo/sim/../ips/pulp_soc/rtl/pulp_soc/soc_peripherals.sv(78).

Time: 0 ps Iteration: 0 Instance: /tb_pulp/i_dut/soc_domain_i/pulp_soc_i/soc_peripherals_i File: /volume1/users/vjain/pulpissimo/sim/../ips/pulp_soc/rtl/pulp_soc/pulp_soc.sv Line: 528

** Warning: (vsim-3015) [PCDPC] - Port size (256) does not match connection size (384) for port 'pad_cfg_o'. The port definition is at: /volume1/users/vjain/pulpissimo/sim/../ips/pulp_soc/rtl/pulp_soc/soc_peripherals.sv(81).

Time: 0 ps Iteration: 0 Instance: /tb_pulp/i_dut/soc_domain_i/pulp_soc_i/soc_peripherals_i File: /volume1/users/vjain/pulpissimo/sim/../ips/pulp_soc/rtl/pulp_soc/pulp_soc.sv Line: 528

** Warning: (vsim-3015) [PCDPC] - Port size (43) does not match connection size (32) for port 'gpio_in_sync'. The port definition is at: /volume1/users/vjain/pulpissimo/sim/../ips/apb/apb_gpio/./rtl/apb_gpio.sv(62).

Time: 0 ps Iteration: 0 Instance: /tb_pulp/i_dut/soc_domain_i/pulp_soc_i/soc_peripherals_i/apb_gpio_i File: /volume1/users/vjain/pulpissimo/sim/../ips/pulp_soc/rtl/pulp_soc/soc_peripherals.sv Line: 328

** Warning: (vsim-3015) [PCDPC] - Port size (2) does not match connection size (1) for port 'i2c_scl_oe'. The port definition is at: /volume1/users/vjain/pulpissimo/sim/../ips/pulp_soc/rtl/udma_subsystem/udma_subsystem.sv(72).

Time: 0 ps Iteration: 0 Instance: /tb_pulp/i_dut/soc_domain_i/pulp_soc_i/soc_peripherals_i/i_udma File: /volume1/users/vjain/pulpissimo/sim/../ips/pulp_soc/rtl/pulp_soc/soc_peripherals.sv Line: 367

** Warning: (vsim-3015) [PCDPC] - Port size (2) does not match connection size (1) for port 'i2c_sda_oe'. The port definition is at: /volume1/users/vjain/pulpissimo/sim/../ips/pulp_soc/rtl/udma_subsystem/udma_subsystem.sv(75).

Time: 0 ps Iteration: 0 Instance: /tb_pulp/i_dut/soc_domain_i/pulp_soc_i/soc_peripherals_i/i_udma File: /volume1/users/vjain/pulpissimo/sim/../ips/pulp_soc/rtl/pulp_soc/soc_peripherals.sv Line: 367

** Warning: (vsim-3015) [PCDPC] - Port size (384) does not match connection size (256) for port 'pad_cfg'. The port definition is at: /volume1/users/vjain/pulpissimo/sim/../ips/pulp_soc/rtl/components/apb_soc_ctrl.sv(85).

Time: 0 ps Iteration: 0 Instance: /tb_pulp/i_dut/soc_domain_i/pulp_soc_i/soc_peripherals_i/apb_soc_ctrl_i File: /volume1/users/vjain/pulpissimo/sim/../ips/pulp_soc/rtl/pulp_soc/soc_peripherals.sv Line: 460

** Warning: (vsim-3015) [PCDPC] - Port size (32) does not match connection size (64) for port 'AXI_Master_w_data_o'. The port definition is at: /volume1/users/vjain/pulpissimo/sim/../ips/pulp_soc/rtl/pulp_soc/soc_interconnect.sv(198).

Time: 0 ps Iteration: 0 Instance: /tb_pulp/i_dut/soc_domain_i/pulp_soc_i/i_soc_interconnect_wrap/i_soc_interconnect File: /volume1/users/vjain/pulpissimo/sim/../ips/pulp_soc/rtl/pulp_soc/soc_interconnect_wrap.sv Line: 126

** Warning: (vsim-3015) [PCDPC] - Port size (4) does not match connection size (8) for port 'AXI_Master_w_strb_o'. The port definition is at: /volume1/users/vjain/pulpissimo/sim/../ips/pulp_soc/rtl/pulp_soc/soc_interconnect.sv(199).

Time: 0 ps Iteration: 0 Instance: /tb_pulp/i_dut/soc_domain_i/pulp_soc_i/i_soc_interconnect_wrap/i_soc_interconnect File: /volume1/users/vjain/pulpissimo/sim/../ips/pulp_soc/rtl/pulp_soc/soc_interconnect_wrap.sv Line: 126

** Warning: (vsim-3015) [PCDPC] - Port size (32) does not match connection size (64) for port 'AXI_Master_r_data_i'. The port definition is at: /volume1/users/vjain/pulpissimo/sim/../ips/pulp_soc/rtl/pulp_soc/soc_interconnect.sv(229).

Time: 0 ps Iteration: 0 Instance: /tb_pulp/i_dut/soc_domain_i/pulp_soc_i/i_soc_interconnect_wrap/i_soc_interconnect File: /volume1/users/vjain/pulpissimo/sim/../ips/pulp_soc/rtl/pulp_soc/soc_interconnect_wrap.sv Line: 126

** Warning: (vsim-3770) Failed to find user specified function 'jtag_tick' in DPI C/C++ source files.

Time: 0 ps Iteration: 0 Region: /SimJTAG_sv_unit File: /volume1/users/vjain/pulpissimo/sim/../rtl/tb/SimJTAG.sv

** Warning: (vsim-8683) Uninitialized out port /tb_pulp/i_dut/soc_domain_i/pulp_soc_i/i_clk_rst_gen/i_fll_soc/i_FLL_digital/TQ has no driver.

This port will contribute value (U) to the signal network.

** Warning: (vsim-8683) Uninitialized out port /tb_pulp/i_dut/soc_domain_i/pulp_soc_i/i_clk_rst_gen/i_fll_soc/i_FLL_digital/JTQ has no driver.

This port will contribute value (U) to the signal network.

** Warning: (vsim-8683) Uninitialized out port /tb_pulp/i_dut/soc_domain_i/pulp_soc_i/i_clk_rst_gen/i_fll_per/i_FLL_digital/TQ has no driver.

This port will contribute value (U) to the signal network.

** Warning: (vsim-8683) Uninitialized out port /tb_pulp/i_dut/soc_domain_i/pulp_soc_i/i_clk_rst_gen/i_fll_per/i_FLL_digital/JTQ has no driver.

This port will contribute value (U) to the signal network.

** Warning: (vsim-8683) Uninitialized out port /tb_pulp/i_dut/soc_domain_i/pulp_soc_i/i_clk_rst_gen/i_fll_cluster/i_FLL_digital/TQ has no driver.

This port will contribute value (U) to the signal network.

** Warning: (vsim-8683) Uninitialized out port /tb_pulp/i_dut/soc_domain_i/pulp_soc_i/i_clk_rst_gen/i_fll_cluster/i_FLL_digital/JTQ has no driver.

This port will contribute value (U) to the signal network.

** Warning: (vsim-3040) Command line generic/parameter "USE_SDVT_I2S" not found in design.

** Warning: (vsim-3040) Command line generic/parameter "USE_SDVT_SPI" not found in design.

set_tcheck

invalid command name "set_tcheck"

run_and_exit

** Warning: (vsim-PLI-3407) Too many data words read on line 2049 of file "./boot/boot_code.cde". (Current address [2048], address range [0:2047]) : /volume1/users/vjain/pulpissimo/sim/../ips/tech_cells_generic/src/deprecated/generic_rom.sv(31)

Time: 0 ps Iteration: 0 Instance: /tb_pulp/i_dut/soc_domain_i/pulp_soc_i/boot_rom_i/rom_mem_i

[CORE] Core settings: PULP_SECURE = 1, N_PMP_ENTRIES = 16, N_PMP_CFG 4

** Warning: (vsim-8315) No condition is true in the unique/priority if/case statement.

Time: 0 ps Iteration: 0 Process: /tb_pulp/i_dut/soc_domain_i/pulp_soc_i/fc_subsystem_i/FC_CORE/lFC_CORE/RISCY_PMP/pmp_unit_i/ File: /volume1/users/vjain/pulpissimo/sim/../ips/riscv/./rtl/riscv_pmp.sv Line: 677

START_ADDR[0] = 0x1a100000; END_ADDR[0] = 0X1a400000

START_ADDR[1] = 0x10000000; END_ADDR[1] = 0X10400000

** Warning: (vsim-8315) No condition is true in the unique/priority if/case statement.

Time: 0 ps Iteration: 0 Process: /tb_pulp/i_dut/soc_domain_i/pulp_soc_i/jtag_lint_arbiter_i/ File: /volume1/users/vjain/pulpissimo/sim/../ips/pulp_soc/rtl/components/tcdm_arbiter_2x1.sv Line: 45

[TB] 0ns - Asserting hard reset

** Warning: (vsim-3533) [FOFIW] - Failed to open file "fs/file_0_0.txt" for writing.

No such file or directory. (errno = ENOENT) : /volume1/users/vjain/pulpissimo/sim/../rtl/tb/tb_fs_handler.sv(269)

Time: 0 ps Iteration: 0 Instance: /tb_pulp/i_fs_handler/FS_PRINTF_CLUSTER

** Warning: (vsim-3533) [FOFIW] - Failed to open file "fs/file_31_0.txt" for writing.

No such file or directory. (errno = ENOENT) : /volume1/users/vjain/pulpissimo/sim/../rtl/tb/tb_fs_handler.sv(269)

Time: 0 ps Iteration: 0 Instance: /tb_pulp/i_fs_handler/FS_PRINTF_FC

** Warning: (vsim-8315) No condition is true in the unique/priority if/case statement.

Time: 0 ps Iteration: 1 Process: /tb_pulp/i_dut/soc_domain_i/pulp_soc_i/fc_subsystem_i/FC_CORE/lFC_CORE/RISCY_PMP/pmp_unit_i/ File: /volume1/users/vjain/pulpissimo/sim/../ips/riscv/./rtl/riscv_pmp.sv Line: 677

** Warning: (vsim-8315) No condition is true in the unique/priority if/case statement.

Time: 0 ps Iteration: 1 Process: /tb_pulp/i_dut/soc_domain_i/pulp_soc_i/jtag_lint_arbiter_i/ File: /volume1/users/vjain/pulpissimo/sim/../ips/pulp_soc/rtl/components/tcdm_arbiter_2x1.sv Line: 45

** Warning: (vsim-8315) No condition is true in the unique/priority if/case statement.

Time: 0 ps Iteration: 2 Process: /tb_pulp/i_dut/soc_domain_i/pulp_soc_i/fc_subsystem_i/FC_CORE/lFC_CORE/RISCY_PMP/pmp_unit_i/ File: /volume1/users/vjain/pulpissimo/sim/../ips/riscv/./rtl/riscv_pmp.sv Line: 677

** Warning: (vsim-8315) No condition is true in the unique/priority if/case statement.

Time: 0 ps Iteration: 12 Process: /tb_pulp/i_dut/soc_domain_i/pulp_soc_i/jtag_lint_arbiter_i/ File: /volume1/users/vjain/pulpissimo/sim/../ips/pulp_soc/rtl/components/tcdm_arbiter_2x1.sv Line: 45

[TB] 1ns - Using FLL

[TB] 1ns - Not using CAM SDVT

Loading default stimuli

[JTAG] SoftReset Done( 701ns)

[JTAG] Bypass Test Passed ( 33301ns)

[JTAG] Tap ID: 249511c3 ( 43701ns)

[JTAG] Tap ID Test PASSED ( 43701ns)

[test_mode_if] 50301ns - Init

[TB] 50301ns - Enabling clock out via jtag

[test_mode_if] 51801ns - Setting confreg to value 003.

[TB] 51801ns - jtag_conf_reg set to 003

[TB] 51801ns - Releasing hard reset

[TB] 53401ns - Init PULP TAP

[pulp_tap_if] WRITE32 burst @1c008080 for 4 bytes.

[TB] 67501ns - Write32 PULP TAP

[JTAG] R/W test of L2 succeeded

[TB] 177701ns - Halting the Core

[TB] 236501ns - Writing the boot address into dpc

[TB] 280601ns - Loading L2

[JTAG] Loading L2 with pulp tap jtag interface

[pulp_tap_if] WRITE32 burst @1c000000 for 1024 bytes.

[pulp_tap_if] WRITE32 burst @1c000400 for 1024 bytes.

[pulp_tap_if] WRITE32 burst @1c000800 for 1024 bytes.

[pulp_tap_if] WRITE32 burst @1c000c00 for 1024 bytes.

[pulp_tap_if] WRITE32 burst @1c001000 for 1024 bytes.

[pulp_tap_if] WRITE32 burst @1c001400 for 968 bytes.

[pulp_tap_if] WRITE32 burst @1c008000 for 1024 bytes.

[pulp_tap_if] WRITE32 burst @1c008400 for 1024 bytes.

[pulp_tap_if] WRITE32 burst @1c008800 for 1024 bytes.

[pulp_tap_if] WRITE32 burst @1c008c00 for 1024 bytes.

[pulp_tap_if] WRITE32 burst @1c009000 for 1024 bytes.

[pulp_tap_if] WRITE32 burst @1c009400 for 1024 bytes.

[pulp_tap_if] WRITE32 burst @1c009800 for 1024 bytes.

[pulp_tap_if] WRITE32 burst @1c009c00 for 1024 bytes.

[pulp_tap_if] WRITE32 burst @1c00a000 for 784 bytes.

[TB] 12498501ns - Resuming the CORE

[TB] 13072001ns retrying debug reg access

[TB] 13101301ns retrying debug reg access

[TB] 13130601ns retrying debug reg access

[TB] 13159901ns retrying debug reg access

[TB] 13189201ns retrying debug reg access

[TB] 13218501ns retrying debug reg access

[TB] 13247801ns retrying debug reg access

[TB] 13277101ns retrying debug reg access

[TB] 13321101ns - Waiting for end of computation

@0 [ x, x, x, x, x, 0] UPDATE CURRENT LOOP

@1 [ x, x, x, x, x, 0] UPDATE CURRENT LOOP

@2 [ x, x, x, x, x, 0] UPDATE CURRENT LOOP

@3 [ x, x, x, x, x, 0] ITERATE CURRENT LOOP

@0 [ x, x, x, x, x, 1] UPDATE CURRENT LOOP

@1 [ x, x, x, x, x, 1] UPDATE CURRENT LOOP

@2 [ x, x, x, x, x, 1] UPDATE CURRENT LOOP

@3 [ x, x, x, x, x, 1] ITERATE CURRENT LOOP

@0 [ x, x, x, x, x, 2] UPDATE CURRENT LOOP

@1 [ x, x, x, x, x, 2] UPDATE CURRENT LOOP

@2 [ x, x, x, x, x, 2] UPDATE CURRENT LOOP

@3 [ x, x, x, x, x, 2] ITERATE CURRENT LOOP

@0 [ x, x, x, x, x, 3] UPDATE CURRENT LOOP

@1 [ x, x, x, x, x, 3] UPDATE CURRENT LOOP

@2 [ x, x, x, x, x, 3] UPDATE CURRENT LOOP

@3 [ x, x, x, x, x, 3] ITERATE CURRENT LOOP

use verilog files to run modelsim simulation

hi all,
we are currently in the middle of a project with involves using the Modelsim to run a pulpisimo simulation in it, and I am trying to get the .v and .sv files that are necessary for it but i am not sure if i have all the files i need,
does any one happened to work those two together and can guide me which files i need to run the modelsim simulation?

thanks

error while simulating hello world

Hello,

I am trying to simulate the Hello example for the pulpissimo platform. I have properly compiled the SDK for this platform.
I am able to execute the make clean and make all commands. However, when I perform the make run option I get the following error:

Launching VSIM with command:
export VSIM_RUNNER_FLAGS="-gLOAD_L2=JTAG -permit_unmatched_virtual_intf -gBAUDRATE=625000" && vsim -64 -c -do 'source /home/ashwini/pulp-builder/pulpissimo-master/sim/tcl_files/config/run_and_exit.tcl' -do 'source /home/ashwini/pulp-builder/pulpissimo-master/sim/tcl_files/run.tcl; run_and_exit;'
Failed to open executable /home/ashwini/intelFPGA/18.1/modelsim_ase/linuxaloem/../linux_x86_64pe/vish in execute mode needed for the option -64.
execv: No such file or directory
** Fatal: Unable to exec the GUI /home/ashwini/intelFPGA/18.1/modelsim_ase/linuxaloem/../linux_x86_64pe/vish.
VSIM reported an error, leaving
/home/ashwini/pulp-builder/pulp-rt-examples-master/hello/build/pulpissimo/__rules.mk:143: recipe for target 'run' failed
make: *** [run] Error 1

I did dome debugging and figured out that because vsim is being launched with -64 option I am getting this particular error.

So, I figured out two solutions:

  1. Find out why the -64 option is not running. This is a Intel-modelsim issue and I am not exactly sure whether changing anything here will even work.
  2. Find out where vsim -64 is being called so that I can remove the -64 option and atleast try to run the hello world program without that. Is this option any good?

I am also attaching an image of the error log from the terminal with this port
error

Recommend Projects

  • React photo React

    A declarative, efficient, and flexible JavaScript library for building user interfaces.

  • Vue.js photo Vue.js

    ๐Ÿ–– Vue.js is a progressive, incrementally-adoptable JavaScript framework for building UI on the web.

  • Typescript photo Typescript

    TypeScript is a superset of JavaScript that compiles to clean JavaScript output.

  • TensorFlow photo TensorFlow

    An Open Source Machine Learning Framework for Everyone

  • Django photo Django

    The Web framework for perfectionists with deadlines.

  • D3 photo D3

    Bring data to life with SVG, Canvas and HTML. ๐Ÿ“Š๐Ÿ“ˆ๐ŸŽ‰

Recommend Topics

  • javascript

    JavaScript (JS) is a lightweight interpreted programming language with first-class functions.

  • web

    Some thing interesting about web. New door for the world.

  • server

    A server is a program made to process requests and deliver data to clients.

  • Machine learning

    Machine learning is a way of modeling and interpreting data that allows a piece of software to respond intelligently.

  • Game

    Some thing interesting about game, make everyone happy.

Recommend Org

  • Facebook photo Facebook

    We are working to build community through open source technology. NB: members must have two-factor auth.

  • Microsoft photo Microsoft

    Open source projects and samples from Microsoft.

  • Google photo Google

    Google โค๏ธ Open Source for everyone.

  • D3 photo D3

    Data-Driven Documents codes.